CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - keyscan

搜索资源列表

  1. keyscanverilog

    0下载:
  2. 特权同学基于EPM240的入门实验的键盘程序-keyscan verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:196269
    • 提供者:陈伟
  1. keyscan

    0下载:
  2. 4*4矩阵键盘扫描 请不要重复上传同一源码,-4* 4 matrix keyboard scanning Do not duplicate upload the same source, in order to open the account owners after passing the examination. If you do not want to upload the source code, you can become a VIP member] access to the
  3. 所属分类:SCM

    • 发布日期:2017-11-26
    • 文件大小:2911
    • 提供者:
  1. 4X4-KeyScan

    0下载:
  2. 经典的4*4矩阵键盘扫描程序,已经测试通过,可以直接使用。-Key scan program
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:4352
    • 提供者:bobo
  1. ADC

    0下载:
  2. stm32驱动4X4键盘扫描,用于键盘扫描-/******************************************************************************* * File Name : KeyScan * Descr iption : Serial print out the corresponding key value *************************************************
  3. 所属分类:source in ebook

    • 发布日期:2017-11-10
    • 文件大小:1446
    • 提供者:henry
  1. KeyScan

    0下载:
  2. stm32f103rbt6驱动的4X4键盘扫描-Stm32f103rbt6 drive 4 x4 keyboard scan
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-24
    • 文件大小:2682900
    • 提供者:henry
  1. Dummy_Project

    0下载:
  2. keyscan routine for the keypad connection (m16c)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:137445
    • 提供者:syed
  1. keyscan

    0下载:
  2. 本程序是用VHDL实现的键盘扫描程序,已调试通过的,大家可以下载作为参考。-This program is a keyboard scanner using VHDL debugging through, you can download as a reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:268069
    • 提供者:工程师
  1. Keyscan

    0下载:
  2. 51单片机4X4矩阵键盘的线反转法键盘扫描方法-51 microcontroller 4X4 matrix keyboard line reversal keyboard scan method
  3. 所属分类:SCM

    • 发布日期:2017-11-28
    • 文件大小:1397
    • 提供者:Mr.wang
  1. NO.9-LCD5110-595-keyscan

    0下载:
  2. msp430 g2553 实现四个IO口串行控制5110液晶和矩阵键盘,并可无限扩展(在计算速度容许情况下),主要器件G2553 HC595.编译环境ccs5.1-four IO-port serial control msp430 g2553 5110 LCD and matrix keyboard (allowable in computing speed can be infinitely extended), the main device G2553 the HC595. compil
  3. 所属分类:SCM

    • 发布日期:2017-11-06
    • 文件大小:40665
    • 提供者:李然
  1. status-keyScan.rar

    0下载:
  2. [原创]基于状态机的按键对时程序,实现(长按,短按,自动连发)等功能,注释详细.,When the program state machine-based keys (long, short, automatic bursts) function, detailed notes.
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:77575
    • 提供者:嘎子
  1. keyscan

    0下载:
  2. 用尽可能少的IO口扫描尽可能多的按键的思路-As little as possible IO port scan as many key ideas
  3. 所属分类:software engineering

    • 发布日期:2017-11-19
    • 文件大小:139405
    • 提供者:周广伟
  1. testmatrix4

    0下载:
  2. Keil3环境下,实现扫描3*4矩阵键盘的。试验箱为普中HC6800 V3.0。 对矩阵键盘的扫描是通过"keyscan"函数实现的。-Keil3 environment, the realization scan 3* 4 matrix keyboard. Chamber for the general in HC6800 V3.0. The matrix keyboard scanning is done by "keyscan" function implementation.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11262
    • 提供者:yin
  1. DDS-AD9850

    0下载:
  2. DDS ,AD9850信号发生器设计,含有键盘扫描 液晶显示.可供需要者参考-DDS AD9850 LCD KEYSCAN
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:54819
    • 提供者:ghost
  1. keyscan

    0下载:
  2. 基于NIOS II的按键操作程序,使用C语言编写,实现按键中断-Based on NIOS II keystrokes procedures, using C language to write, implement key interrupt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1423
    • 提供者:康乐
  1. keyscan

    0下载:
  2. 点阵键盘驱动,驱动4*4的键盘,适用于单片机系统,采用先检测按下,再读取键值的流程工作-Dot matrix keyboard driver, driver 4* 4 keyboard, suitable for single-chip system, using the first detection of press, and then read the key work processes
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:23893
    • 提供者:董亨
  1. keyscan

    0下载:
  2. 用verilog语言写的简单的键盘扫描代码,适合初学者,用alter的软件编写的程序代码。-Using verilog language to write simple keyboard scan code, suitable for beginners, with alter software program written code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:244351
    • 提供者:郑先生
  1. keyscan

    0下载:
  2. 基于MSP430F149单片机的矩阵键盘程序,采用非中断扫描方式检测按键,将结果显示在12864液晶屏幕上。-MSP430F149 MCU-based matrix keyboard program, using non-disruptive scan test button, the results are displayed on the LCD screen in the 12864.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:26041
    • 提供者:唐涛
  1. keyscan

    0下载:
  2. 利用VHDL语言编写的4*4键盘扫描程序,经过测试,可以放心使用。-Using VHDL language 4* 4 keyboard scanning procedures, tested, safe to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:55391
    • 提供者:huying
  1. keyscan

    0下载:
  2. 基于LCD12864的自动存包柜,通过键盘按键实现存取,输入密码,密码错误,再次输入等功能。-Based LCD12864 automatic lockers, through the keyboard keys to achieve access, enter the password, the password is incorrect, enter the function again.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3094
    • 提供者:王彤
  1. KeyScan

    0下载:
  2. VG9811计量芯片的按键扫描源程序,为驱动程序。-VG9811 metering chip key scan source code for the driver.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1383
    • 提供者:liuzhongyu
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com