CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lcd vhdl

搜索资源列表

  1. LCD显示实验

    0下载:
  2. ALTERA NIOS处理器,用VHDL在QUARTUS下编写,用NIOS SHELL调试通过,实验LCD液晶显示-Altera NIOS processor, using VHDL in QUARTUS prepared with NIOS SHELL debug through experimental LCD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:35851
    • 提供者:xf
  1. some-usful-vhdl-source-code

    0下载:
  2. 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1278750
    • 提供者:雨风
  1. dig_clk_lcd

    1下载:
  2. 数字钟的实现,由LCD动态显示,VHDL语言实现-the realization by the dynamic display LCD, VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1834791
    • 提供者:jerry
  1. LCD

    0下载:
  2. vhdl经典源代码——LCD控制,入门者必须掌握-vhdl classical source code -- LCD control, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:255769
    • 提供者:jeffery
  1. lcd

    0下载:
  2. 用FPGA来控制2*16LCD的程序,采用VHDL语言来编写,并且我把他转换为verilog语言,有意者请联系;
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1675
    • 提供者:赵雯
  1. 2

    0下载:
  2. TFT-LCD屏的驱动,实现彩色条与滚动条的显示-TFT-LCD screen driver, and the scroll bar in color bar display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2328381
    • 提供者:zhao
  1. LCD-core

    0下载:
  2. 基于wb总线 的 支持16*2的LCD驱动-based on wb bus lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7154
    • 提供者:王东
  1. LCD_CLOCK

    1下载:
  2. 用1602液晶显示的数字电子钟,并且可以用按键开关调整时间,日期,星期。-1602 LCD display with digital electronic clock, and the key switch can be used to adjust the time, date, week.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165109
    • 提供者:周航
  1. LCD

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现LCD VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation LCD VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:474464
    • 提供者:zkzkzk
  1. LCD

    0下载:
  2. LCD 16x2 Driver with UCF static text
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1351355
    • 提供者:linchkid
  1. lcd

    0下载:
  2. 基于 vhdl 的 lcd 液晶屏驱动程序-lcd vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15531
    • 提供者:张海
  1. VGA-LCD

    0下载:
  2. vga_lcd,显示是应用较广的一种技术,是led大屏的技术基础,对于学习led大屏技术有着很大的帮助。-VGA LED LCD VHDL FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:641534
    • 提供者:
  1. LCD-VHDL

    0下载:
  2. LCD控制VHDL程序与仿真,我感觉挺有用的~-LCD control procedures and VHDL simulation, I feel quite used ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4726
    • 提供者:
  1. LCD-display

    0下载:
  2. fpga的键盘阵列LCD显示程序,包括vhdl文件,顶层文件和工程文件-fpga array of keyboard LCD display procedures, including vhdl files, top-level files and project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:549292
    • 提供者:zhouhengjun
  1. TFT-LCD-Controller_design-20080602

    0下载:
  2. TFT LCD控制器详细设计说明,包括架构设计,原理设计,RTL代码设计等-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:496688
    • 提供者:liyilang
  1. LCD-VGA

    0下载:
  2. 基于VHDL语言的vga显示代码,可使用FPGA实现功能-vga for fpga
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:490598
    • 提供者:Sorame
  1. VHDL LCD

    0下载:
  2. Using VHDL written LCD tool
  3. 所属分类:VHDL编程

  1. LCD

    0下载:
  2. 基于vhdl简单的液晶显示电路设计(VHDL desingn)-Display circuit design (VHDL desingn) based on a simple LCD vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:324280
    • 提供者:just
  1. lcd

    0下载:
  2. vhdl code fpga for lcd 2*16
  3. 所属分类:软件工程

    • 发布日期:2017-12-17
    • 文件大小:884736
    • 提供者:mrelec
  1. VHDL控制LCD程序与仿真

    0下载:
  2. VHDL控制LCD程序与仿真加入详细说明和解释(VHDL control LCD program and simulation add detailed explanation and explanation)
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:4096
    • 提供者:hq1104
« 1 2 3 4 56 7 8 9 10 ... 23 »
搜珍网 www.dssz.com