CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lcd vhdl

搜索资源列表

  1. FRE

    0下载:
  2. 用1602显示的等精度频率计,有多种功能的;可能测试占空比和周期的-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4766702
    • 提供者:dasfsaf
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. FPGA中嵌入8051的核 并且实现控制128*64的液晶显示-FPGA embedded in 8051 and to achieve control of the nuclear 128* 64 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:440125
    • 提供者:万海
  1. lcd

    0下载:
  2. 使用FPGA控制LCD1602,采用VHDL语言描述-Using the FPGA control LCD1602, use of VHDL language to describe the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1595
    • 提供者:任云杰
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. ourdev_247126

    0下载:
  2. his design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor should be connected to the VGA port. The
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161952
    • 提供者:路啄米
  1. Led_Lcd_vhdl

    0下载:
  2. Lcd led 2x16 2009 china2009
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:946939
    • 提供者:aliasder
  1. CM12864

    0下载:
  2. cm12864液晶显示器的vhdl驱动代码,基于状态机的转换,实现显示功能。-descripe by the VHDL to drive the LCD cm12864,based on the FSM convertor,achieve the display function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1296
    • 提供者:梁重
  1. LCD

    0下载:
  2. 用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。-Written in VHDL, a display procedure, hoping that would help everyone a favor on the Hei hei.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:464463
    • 提供者:shuwei
  1. lcd

    0下载:
  2. 在和众达SEED-XDTK平台上,基于XC4Vsx25的液晶驱动程序。-In and Jones SEED-XDTK platform, based on XC4Vsx25 liquid crystal driver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:779759
    • 提供者:hechao
  1. Lcd_Driver

    0下载:
  2. TFT LCD驱动,CPLD,XL95144-verilog-TFT LCD DRIVER-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:1952476
    • 提供者:WangJQ
  1. VHDL-PROJECT030609

    0下载:
  2. parallel communication UART without LCD
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:543318
    • 提供者:Daniel R.
  1. FPGA_VHDL_1602

    0下载:
  2. FPGA控制1602液晶源代码 VHDL源文件-FPGA source code control 1602 LCD VHDL source file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:84240
    • 提供者:gaodong
  1. lcd_drv

    0下载:
  2. lcd driver 16x2 to drive lcd dispaly on altera de2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2193
    • 提供者:jan
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. bin_copy

    0下载:
  2. FPGA驱动12864汉字显示源代码,12864是16个引脚的带字库的液晶显示模块-12864 Chinese character display FPGA-driven source code, 12864 is a 16-pin LCD display module with a font
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3276544
    • 提供者:tdgyh
  1. Nokia5110

    0下载:
  2. Nokia5110液晶 内有程序和其他资料-Nokia5110 LCD there are procedures and other information
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1410819
    • 提供者:eva
  1. DE2_CCD

    0下载:
  2. FPGA 上实现VGA控制器 开发平台为altera官方开发板de2 -DE2 FPGA VGA LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:220573
    • 提供者:wuyou
  1. led_control

    0下载:
  2. 本实验箱采用的液晶显示屏内置的控制器为SED1520,点阵为122×32,需要两片SED1520组成,由E1,E2分别选通,以控制显示屏的左右两半屏。图形液晶显示模块有两种连接方式,一种为直接访问方式,一种为间接访问方式。本实验采用直接控制方式。 直接控制方式就是将液晶显示模块的接口作为存储器或I/O设备直接挂在计算机总线上。计算机通过地址译码器控制E1和E2的选通;读/写操作信号R/W有地址线A1 控制,命令/数据寄存器选择信号由地址线A0控制。 -The experimenta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1206208
    • 提供者:yangxiao
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 23 »
搜珍网 www.dssz.com