CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led vhdl

搜索资源列表

  1. DieuKhienLED

    0下载:
  2. Shift LED on Spartan 3E kit board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:219673
    • 提供者:Kip
  1. Led

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-debounced counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:288786
    • 提供者:hide tyou
  1. annie5

    0下载:
  2. led汉字滚动显示,可根据不同的设定显示汉字-led scrolling display of Chinese characters can be set according to different display of Chinese characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:525
    • 提供者:anniepotter
  1. led

    0下载:
  2. 与串口通讯控制led(使用VHDL硬件描述语言,通过Altera QuartusII 开发)-Serial control and communications led (the use of VHDL hardware descr iption language, through the development of Altera QuartusII)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:86095
    • 提供者:刘磊
  1. LED

    0下载:
  2. 在ALTERA的DE 2 开发板上做的一个类似闪烁的彩灯,用了16个LEDR,可以直接下载到板子上运行,基于经典的开发平台Quartus II+SOPC Builder+Nios II IDE 做的,只要看了以后,你就会自己设计各种花样的彩灯闪烁的样子了.所用语言有多种,VHDL,C/C++等-DE 2 in the development of the ALTERA board to do a similar flickering lantern, with a 16 LEDR, can be
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-16
    • 文件大小:4208226
    • 提供者:liguoyin
  1. LED7s

    0下载:
  2. 用VHDL语言编写的 LED七段显示译码器-Written in VHDL language with the LED seven-segment display decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:589
    • 提供者:hualiayue
  1. Pwmleddim

    0下载:
  2. This PWM Coltrolled Led Light Processing Alogothim we are sometimes nead a Led bight that is controlled with PWM and I Use this Algoritm to make various Led Light source -This is PWM Coltrolled Led Light Processing Alogothim we are s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2841
    • 提供者:jeong
  1. DE0_PWM_LED

    0下载:
  2. 利用pwm做出的一个控制led亮度的小程序!-Pwm to make use of a control led brightness applet!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:15824
    • 提供者:tony
  1. pwm

    0下载:
  2. FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:432312
    • 提供者:cood
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. cube-v04-code

    0下载:
  2. 3x3x3 Assembly led cube code
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:18581
    • 提供者:Selcuk Ural
  1. pwm_out

    0下载:
  2. PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40423
    • 提供者:王砂
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. LED

    0下载:
  2. led流水灯是FPGA初学者必备的基础实验,入门级得开发程序,但是很重要,程序简单可是可以用来熟悉系统的环境。-led vhdl fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:321412
    • 提供者:
  1. LED--VHDL

    0下载:
  2. LED控制VHDL程序与仿真,我感觉是挺有用的~-LED control procedures and VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5107
    • 提供者:
  1. timing

    0下载:
  2. Video RGB timing搭配FPGA系統及三色LED控制,可以實現色序法(Field sequential display).-Video RGB timing with FPGA and three-color LED control system can achieve color sequential (Field sequential display).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5582
    • 提供者:pin
  1. 基于vhdl的抽奖程序

    0下载:
  2. 用vhdl语言编写的抽奖程序,以led灯的亮灭状态显示抽中哪个灯
  3. 所属分类:VHDL编程

  1. LED-VHDL

    1下载:
  2. 本程序为LED点阵显示的VHDL程序代码,代码注有解释,适合初学者使用-This program is LED dot matrix display VHDL code, the code marked with explanations for beginners
  3. 所属分类:assembly language

    • 发布日期:2015-07-07
    • 文件大小:13312
    • 提供者:xuliyue
« 1 23 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com