CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led vhdl

搜索资源列表

  1. clock

    0下载:
  2. VHDL数字闹钟实现,运用八位LED显示-VHDL realization of the digital alarm clock, the use of eight LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2078
    • 提供者:公孙齐桓
  1. rafal2

    0下载:
  2. VHDL project for FPGA SPartan 3 using IseWebpack 10.1. This is an implemetation of FSM for testing 7 segment with dot point 4 digit LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:941272
    • 提供者:nukom
  1. S3_SW

    0下载:
  2. 这个程序是用来测试拨码开关与按键开关的, 当按下按键开关时,相应的led会点亮, 同理打开拨码开关相应的led也会点亮-This procedure is used to test switch DIP switch and button, when pressing the button switch, the corresponding led will light up, open the same token the corresponding DIP switch led wi
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:188386
    • 提供者:刘飞
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD based on the VHDL language di
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:95274
    • 提供者:wuhuisong
  1. 48led

    0下载:
  2. 此软件用的是QuartusII 5.1的环境编写的CPLD内的程序,CPLD用的是EPM7128,实现的功能是对计算机的ISA总线读写操作,计算机通过ISA总线,再通过CPLD,来控制LED的亮和灭-This software is used in the preparation of QuartusII 5.1 environment within the CPLD procedures, CPLD using EPM7128, the function of the realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:201867
    • 提供者:hujianhua
  1. LED

    0下载:
  2. 这是一个用VHDL编写的LED显示程序,该程序虽然简单,但对一个初学的人来说也未必用不到,所以上传,希望能有人用到-This is a VHDL prepared with LED display program, the program is simple, but for a beginner who may not be less than, so upload the hope was to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:530
    • 提供者:wangkai
  1. VHDLjianpan

    0下载:
  2. 一个VHDL键盘的设计,有去抖,能稳定在LED上显示。程序都已变好,你可以借鉴一下。-VHDL design of a keyboard, and to tremble, to stability in the LED display. Procedures have been changed for the better, you can learn from you.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:987168
    • 提供者:ywb
  1. traffic

    0下载:
  2. 一个很好的交通灯控制的Verilog HDL实现方式,包括LED显示部分。-A good control of traffic lights to achieve the Verilog HDL, including the LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1041
    • 提供者:keke
  1. LED_vhdl

    0下载:
  2. LED控制VHDL程序与仿真,FPGA驱动LED静态显示-led vhdl driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5736
    • 提供者:jz
  1. LEDVHDL

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 本节分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。 1. 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd。 --功能:译码输出模块,LED为共阳接法。 --最后修改日期:2004.3.24。 -8.2 LED control and simulation of VHDL procedures introduced in this section of the LED using FPGA st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5263
    • 提供者:wangnan
  1. get_6675_temp_2

    0下载:
  2. MAXII 240 CPLD和6675 开发的0-1023.75度的温度传感数据采集系统,用seg7 LED显示,精度0.25度。探头是K型测温线,Quartus II 6.0调是通过,在cpld开发板上面试验成功-MAXII 240cpld and 0-1023.75 development of 6675 degrees C temperature sensor data acquisition system, using seg7 LED shows that the accuracy o
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:464405
    • 提供者:谭建平
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. PPT

    0下载:
  2. 大学EDA课程的课件以及课后部分习题的程序。包括最基本的加法器、计数器、LED显示以及部分高级VHDL程序。-University of EDA software programs, as well as some after-school exercise procedures. Including the most basic adder, counter, LED display, as well as some high-level VHDL procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8547157
    • 提供者:寂静的璀璨
  1. FPGA-LED

    0下载:
  2. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-02
    • 文件大小:243216
    • 提供者:liushuo
  1. Blinkled

    0下载:
  2. It is a program to blink led and test if it is working while we try the board.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:47029
    • 提供者:Roberto
  1. LED

    0下载:
  2. 一段VHDL代码,控制led灯,大家可以参考一下,修恶习-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3647
    • 提供者:foreveryy
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从 0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:141722
    • 提供者:panda
  1. sensortest

    0下载:
  2. 光线传感器测量 LED会显示环境光的大小,用手挡住光线 传感器,LED的显示值会相应减小。-Light sensors measure the ambient light LED will show the size, hands blocking the light sensor, LED display the value of a corresponding decrease.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:191378
    • 提供者:panda
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:132669
    • 提供者:panda
« 1 2 3 4 5 67 8 9 10 11 ... 23 »
搜珍网 www.dssz.com