CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - matlab fpga

搜索资源列表

  1. OFDM_Security

    0下载:
  2. This a Simulink model that demonstrates an algorithm that applies wireless security on physical layer. The demonstration is based on 802.11a (simplified) and receiver is implemented on Xilinx Virtex 4 FPGA. The RAR file inlcudes 2 files: 1. Simul
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:160659
    • 提供者:徐滨
  1. nlpf

    1下载:
  2. This simple Matlab function simulates a innovative algorithm for narrow band interference mitigation for wireless communications, esp for satellite comm. The algorithm looks similar to LMS, but error is non-linearly transformed. It works well and a
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:842
    • 提供者:徐滨
  1. fir_compiler

    0下载:
  2. FIR编译器。自动生成具有用户自定参数的FIR滤波器。 在 matlab里面设计滤波器,matlab里面设计输入字长。生成的rtl代码是该文件的头部有位宽宏定义,可以自行查阅。 -FIR Compiler. Automatically generate a user-defined parameters of FIR filters. Design a filter inside the matlab, matlab which design input word length. Rtl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2137038
    • 提供者:秋田
  1. FPGA-basedimplementationoftherootraisedcosine

    0下载:
  2. 基于FPGA实现根升余弦滤波器的研究(在MATLAB环境中)-FPGA-based implementation of the root raised cosine filter (in the MATLAB environment)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:38857
    • 提供者:xiaoyuehaome
  1. RadioCom

    0下载:
  2. Implementation of SDR on FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2773399
    • 提供者:gman
  1. cdma2k_ddc_12_1

    2下载:
  2. matlab simulink 开发的CDMA2K DDC数字下变频器和滤波器,使用XILINX FPGA V5系列,并包含DDC每个阶段的输出验证matlab程序,非常实用。-matlab simulink developed CDMA2K DDC digital down converter and filter, using the XILINX FPGA V5 series, and contains the output of each stage of verification DD
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:33454
    • 提供者:helon
  1. makecoe

    4下载:
  2. matlab生成*.COE文件,用于xilinx公司FPGA内部存储器的初始化文件-matlab generate*. COE file for xilinx FPGA internal memory company initialization file
  3. 所属分类:matlab例程

    • 发布日期:2014-02-02
    • 文件大小:5558
    • 提供者:hemy
  1. digital-quadrature-down-converter

    2下载:
  2. 基于FPGA的数字正交下变频器设计,在ALTERA的DE2开发板上设计一个多相滤波结构数字正交变换器。其中多相滤波模块是最关键模块,该模块将64阶滤波器的系数分成奇偶两路,并通过VHDL常数的方式存储在模块内部。这些常数是通过在MATLAB中调用FDATool,根据滤波器的参数要求来生成的。这些浮点格式的滤波器系数还需要在MATLAB中计算成二进制补码的形式,才可以存储在模块中。-FPGA-based digital quadrature down-converter design, ALTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7411722
    • 提供者:joey
  1. 0987654

    0下载:
  2. mini gui 应用于嵌入式视频程序开发设置,可以在ARM或MATLAB,FPGA中实现使用-mini gui application development for embedded video settings, you can ARM or MATLAB, FPGA implementation uses the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1856342
    • 提供者:武广录
  1. fir-and-iir

    1下载:
  2. FPGA关于数字滤波器设计,FIR的FPGA实现及其Quartus与MATLAB仿真-FPGA on the digital filter design, FIR s Quartus FPGA Implementation and Simulation with MATLAB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5149608
    • 提供者:方明
  1. FPGA-based-Torque-and-Flux-Estimator-_IREE

    0下载:
  2. This paper presents a new design of the torque and stator flux estimators for Direct Torque control (DTC) for Field Programmable Gate Array (FPGA) implementation, which permit very fast calculations. An alternative variable word-size approach in
  3. 所属分类:File Formats

    • 发布日期:2017-03-26
    • 文件大小:435361
    • 提供者:T. H. Sutikno
  1. CIC

    0下载:
  2. CIC算法的FPGA,matlab和System Generator实现。-CIC;FPGA;matlab;System Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:70185
    • 提供者:shkl516
  1. Wireless-FPGA

    0下载:
  2. 《无线通信FPGA设计》书的源代码 verilog 代码 matlab 程序-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:214464
    • 提供者:sas
  1. readtbl

    0下载:
  2. matlab软件读取FPGA生成的*.tbl文件-matlab software reads the FPGA to generate the*. tbl file
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:622
    • 提供者:YY
  1. FPGA-signal-procession(-MATLAB)

    0下载:
  2. 基于MATLAB 的FPGA 数字信号处理模块的设计-design of the FPGA signal procession model based on MATLAB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:632680
    • 提供者:maomao
  1. Multirate-Filter-FPGAs-Using-MATLAB

    0下载:
  2. fpga无线通信多率滤波器设计-fpga design about multi-rate filter about wireless communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:188096
    • 提供者:hut
  1. FPGA-verilog-matlab

    0下载:
  2. 《无线通信FPGA设计》一书中例子的Matlab及verilog代码,非常详细-"Wireless FPGA design," a book example of Matlab and verilog code, very detailed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:209201
    • 提供者:虎斑兜兰
  1. ldpc-for-fpga-decoding

    0下载:
  2. ldpc译码算法的matlab实现,码长960,码率1/2,完全模拟fpga硬件实现语言,量化处理。-ldpc decoding using matalb,code length 960,code rate 1/2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19357
    • 提供者:shao
  1. fir-filter-in-Matlab-and-Modelsim

    0下载:
  2. 基于DSP Builder的fir滤波器,及在Modelsim上仿真工程文件,是在做基于FPGA的fir滤波器的一部分-The DSP Builder-based fir filter, and on the simulation project file in Modelsim is doing FPGA-based fir filter part of the
  3. 所属分类:DSP program

    • 发布日期:2017-05-27
    • 文件大小:10390128
    • 提供者:pei
  1. FPGA-codes

    0下载:
  2. 无线通信FPGA设计一书中的源代码,包括matlab程序和FPGA初学者-codes of wireless communiction based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196094
    • 提供者:李永涛
« 1 2 3 4 56 7 8 9 10 ... 16 »
搜珍网 www.dssz.com