CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - memory controller verilog

搜索资源列表

  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. VGA_CCD531

    0下载:
  2. 本文围绕一个包含Nios II软核处理器的可编程片上系统展开数码相机的样机设计。论文首先对样机所要达到的整体功能进行了规划,接下来并行开展了软硬件设计。在硬件方面,充分利用了所使用平台提供的SD卡插槽、键盘、数码管、SRAM等各种硬件资源,并用Verilog HDL硬件描述语言设计了样机系统所需要的VGA接口控制器、CMOS图像传感器接口控制器以及VGA显示存储器;在软件方面,本文基于Nios II软核处理器用C语言实现了SD卡的驱动、FAT文件系统的移植、VGA显视器的驱动以及BMP图片文件的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15078555
    • 提供者:
  1. NandFlash

    0下载:
  2. NandFlash Controller: It s contain a NandFlash controller in verilog language. It is a interface between microprocess and NandFlash memory.
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2429
    • 提供者:william
  1. codes

    0下载:
  2. my codes....................................................................................................
  3. 所属分类:VHDL编程

    • 发布日期:2015-10-19
    • 文件大小:1776
    • 提供者:hasan110904
  1. pcie_ctrl_module

    0下载:
  2. pcie genx4 控制器模块 verilog,直接读取内存和写入内存-pcie gen4 controller module verilog, direct memory read and write memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:29907
    • 提供者:周召涛
  1. MEM

    0下载:
  2. hereby i have attached memory controller vip by using system verilog hope this will be helpfule for u
  3. 所属分类:Communication

    • 发布日期:2017-05-06
    • 文件大小:571045
    • 提供者:gokul
  1. FPGA_flash设计

    0下载:
  2. 我们的设计是用一个FSM控制器来控制发送什么命令,flash模块判断FSM发送过来的state信号来选择应该执行什么操作,当命令写入或者读出后,会发送一个flag_done命令,这个命令让我们判断上个指令是否完成,如果完成后FAM将发送下一个命令.(Our design uses a FSM controller to control what commands are sent. The flash module judges the state signal sent by the FSM
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:249856
    • 提供者:硅渣渣
« 1 2»
搜珍网 www.dssz.com