CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - meter taxi

搜索资源列表

  1. chuzuchejijiaqi

    0下载:
  2. 基于stc89c52的出租车计价器 光电开关 温度检测 1602显示-Based on the stc89c52 meter taxi photoelectric switch temperature testing 1602 display
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:39558
    • 提供者:王修波
  1. Taxi-Meter-Using-89C51

    0下载:
  2. Taxi Meter Using 89C51 with Proteus simulation file, 16 X 2 Line LCD, Topview simulation Screen shot and all the file included.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:761978
    • 提供者:manoj
  1. zmz

    0下载:
  2. 出租车计价器员代码 基于单片机的硬件开发系统-The meter taxi member code
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7571
    • 提供者:zhang
  1. taxi

    0下载:
  2. VHDL实现的出租车计价器,简单易行,能够完成基本的几家功能-VHDL source code for taxi meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:936
    • 提供者:王亚伟
  1. SCM-taxi-system

    0下载:
  2. 随着出租车的普及,其计价系统也在日益更新。我们在51单片机的基础上设计出租车的计价系统,具有成本低廉、操作简单、显示明了的特点,是实践课程知识的一个有意义的生活应用。 整个系统只有两个按键,一个“启动/停止”,一个“复位”;本系统除了里程统计和费用计算以外,还有屏幕显示和语音播报等功能。 使用LCD1602作为显示器,可以显示数字、字母使系统信息一目了然; 由ISD1760语音芯片播放语音信息,语音信息放在不同的地址里,由单片机从这些地址中调用合适的语音进行播放; 采用LM386
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:837795
    • 提供者:森森向上
  1. taxi-price-evaluator_VHDL

    0下载:
  2. 基于VHDL的出租车计价器,具有计时和路程计算功能,VHDL重在实践-VHDL-based taxi meter, timing and distance calculation function, VHDL is Practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:28047
    • 提供者:霍赞
  1. taxi

    0下载:
  2. 本设计采用STC89C52单片机为主控器,实现对出租车的计价设计,输出采8段数码显示管,74LS245芯片和74LS138芯片驱动。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜来调节单价,同时具有计时功能。-This design uses STC89C52 microcontroller-based controller, the taxi meter design, output adopted 8-segment display tube, 74LS245 chips a
  3. 所属分类:SCM

    • 发布日期:2017-11-08
    • 文件大小:1146481
    • 提供者:吉尔多
  1. taxi

    0下载:
  2. verilog实现出租车计费功能,起步价、里程数、等待时间计算-Verilog taxi meter function, starting price, mileage, waiting time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:451743
    • 提供者:passerby9091
  1. taxi

    0下载:
  2. 出租车计价器,EDA课程相关实验,quartus ii -Taxi meter EDA course experiment, the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:372098
    • 提供者:
  1. the-taxi-meter

    0下载:
  2. 利用MAX plus10.2对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。-The MAX plus10.2 the design of the taxi meter VHDL code simulation, and FPGA digital experimental system To implement the control. This is the decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:507506
    • 提供者:陈小姐
  1. Taxi-meter

    0下载:
  2. 1、了解出租车计费器的工作原理。 2、学会用VHDL语言编写正确的七段码管显示程序。 3、数量掌握用VHDL编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 -1, to understand taxi meter works. 2, learn the proper use of VHDL language program seven-segment LED display. 3, the number of master with VHDL complex fu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1432379
    • 提供者:
  1. TAXI

    0下载:
  2. VHDL硬件描述语言实现出租车计费器的功能,不同时段,不同行驶状态费用可以调节-VHDL hardware descr iption language taxi meter' s functions, different times, different running state fee can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4024830
    • 提供者:peter
  1. taxi

    0下载:
  2. 出租车计价器,能够根据行驶距离给出价格表-Taxi meter, according to price list given distance traveled
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:196339
    • 提供者:汤旭
  1. Taxi-meter

    0下载:
  2. 出租车计费器。VHDL。quartus ii 编译通过。代码正确可用。-Taxi meter。VHDL。quartus ii compiled. Correct code is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:223258
    • 提供者:ecnu
  1. taxi

    0下载:
  2. 这是一个出租车计费器的C程序代码,是基于51单片机的C51程序。-This is a taxi meter C program code, is based on 51 MCU C51 program.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:1908
    • 提供者:heny
  1. Taxi-meter-source

    0下载:
  2. 出租车计价器C51源程序,实现出租车计价器基本功能。 -Taxi meter C51, to achieve the basic functions of a taxi meter.
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:4652
    • 提供者:李小佳
  1. vhdl

    0下载:
  2. 采用FPGA实现出租车计价,出租车计价器系统VHDL程序讲真-FPGA implementation using the taxi meter, taxi meter system VHDL program tell the truth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:86596
    • 提供者:sun fujin
  1. The-taxi-meter

    0下载:
  2. 出租车计价器程序,出租车计价器电路图都有,可以-The taxi meter
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:691683
    • 提供者:feifei
  1. taxi

    0下载:
  2. 出租车计价器,在堵车或者红灯时,等待超过一定时间计价器开始计价-Taxi meter, in traffic jams or red light, waiting to start the meter over a certain time pricing
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-11
    • 文件大小:869
    • 提供者:吕真
  1. TAXI

    0下载:
  2. 出租车计价器,汇编,PROTEUS 功能完全实现-Taxi meter, assembly, PROTEUS function fully realized
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:113705
    • 提供者:杨杨
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com