CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - microprocessor

搜索资源列表

  1. print150

    0下载:
  2. 51单片机驱动针式打印头的程序-51 Microprocessor needle procedures print head
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:13129
    • 提供者:祁立云
  1. TCP_PPP_ST-2004-12-23_coloredLCD

    0下载:
  2. 51单片机驱动320*234彩显的源代码-51 Microprocessor 320 * 234 Color source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:41347
    • 提供者:李江
  1. 字符显示

    0下载:
  2. 单片机驱动液晶,基于51-Microprocessor LCD, based on 51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1442
    • 提供者:张博
  1. S3C44B0X微处理器在汽车防抱死控制系统中的应用

    0下载:
  2. S3C44B0X微处理器在汽车防抱死控制系统中的应用-S3C44B0X microprocessor in Anti-lock Braking System Application
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:42284
    • 提供者:孙光艳
  1. 模拟接受7219的数据

    0下载:
  2. 模拟接受max7219的数据,主要是原系统升级,需要将原单片机驱动7219的数据接受并分析数据及命令。-simulation accept the data, major upgrade of the original system, the need for the original Microprocessor 7219 data accept and analyze data and orders.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2210
    • 提供者: 王军文
  1. soso

    0下载:
  2. 8位微程序控制计算机设计实验 汇编控制CPU简单乘法-eight microprocessor-controlled experimental design compilation of computer control CPU simple multiplication
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:6661
    • 提供者:赵阳
  1. DS162

    0下载:
  2. 目的 : 建立方便的LCD162操作子程序 目标系统: 基于任何兼容C51的微处理器 应用软件: Keil C 版本 : Version 1.0 LCD162程序-Objective : To establish a convenient target subroutine LCD162 operating system : C51 on any compatible microprocessor applications : Keil C version : Version 1.0 LCD16
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2151
    • 提供者:see
  1. 微处理器的设计与实现

    0下载:
  2. 一个简单的微处理器的实现,能够进行几种常见的操作,对于熟悉计算机的工作原理很有帮助,并且附有详细的设计报告和设计思路。在word文档最后给出了源代码。-a simple microprocessor to achieve, for several common to the operation of the computer for those familiar with the working principle helpful, and with the detailed design re
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:448298
    • 提供者:郭慧勤
  1. 8051的内核(vhdl)

    0下载:
  2. 最完整最实用的8051的软核,用VHDL语言编写全部原代码,并有详细的注释介绍,对开发增强型多功能单片机或RSIC单片机内核和单片机SOC应用非常有参考价值-most complete most practical of the 8051 soft-core, with all the preparation VHDL source code, and the Notes for a detailed briefing on the development of an enhanced mult
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213510
    • 提供者:czy
  1. uP

    0下载:
  2. 这是8位微处理器的Verilog源代码,可以欠在Flex10k10里面-This is the 8-bit microprocessor Verilog source code, can they owed in Flex10k10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95098
    • 提供者:李无志
  1. tiny16cpu_maxII

    0下载:
  2. 这个是专门用在ALtera第二代PLD MAXII上的16位微处理器IP核,文档齐全-this is the ALtera devoted second-generation PLD MAXII on the 16-bit microprocessor IP core, complete documentation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:240748
    • 提供者:李无志
  1. 单片机驱动硬盘

    0下载:
  2. 单片机驱动硬盘程序,使用过程中要根据个人硬盘情况加以修改-Microprocessor drives, the use of the process to be based on individual hard drives of the modified
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2785
    • 提供者:
  1. c51写的93C46的读写程序

    0下载:
  2. 51单片机驱动93c46,C源代码,SPI总线-51 Microprocessor 93c46, C source code, SPI Bus
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1410
    • 提供者:刘冰
  1. STM32微处理器的固件库

    1下载:
  2. STM32微处理器的固件库 STM32微处理器的固件库 ,STM32 firmware library microprocessor microprocessor STM32 firmware library
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2017-03-27
    • 文件大小:997935
    • 提供者:苏海涛
  1. LPC17xx-uCos-W2.89 精选uC/OS II 2.89,完美支持CM3

    0下载:
  2. 精选uC/OS II 2.89,完美支持CM3,并扩展了定时器;采用最新CMSIS编程框架,使用NXP官方最新CMSIS2.0,完美支持NXP LPC17xx系列微处理器;该程序提供一个编程构架,驱动层被CMSIS包含,关于CMSIS2.0使用和例程,请读者去NXP官网下载或者互联网,主程序为一个任务通信的流水灯,环境为周立功LPC1766开发板。此为方卫龙整合修改,所有祝大家玩的愉快。-Selected uC/OS II 2.89, the perfect support for CM3, a
  3. 所属分类:uCOS开发

    • 发布日期:2017-05-25
    • 文件大小:8427671
    • 提供者:方卫龙
  1. USB.rar

    0下载:
  2. 应用C语言实现在ARM微处理器上USB接口的驱动和实现 数据通信,Application of C language in the ARM microprocessor interface on the USB drive and realization of data communication
  3. 所属分类:USB develop

    • 发布日期:2017-03-27
    • 文件大小:435548
    • 提供者:雨晨
  1. leon-2.2.tar.gz

    0下载:
  2. 宇航级微处理器LEON2 2.2 VHDL源代码,很难找的.,Aerospace-grade microprocessor LEON2 2.2 VHDL source code, it is difficult to find.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:379559
    • 提供者:Jackson
  1. mips_verilog.rar

    0下载:
  2. verilog语言实现的基于MIPS体系结构的微处理器程序,一个时钟周期执行一条指令。,verilog language MIPS-based microprocessor architecture, an implementation of a clock cycle instructions.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:3358
    • 提供者:kaka
  1. target.rar

    0下载:
  2. hreadx实时嵌入式操作系统源代码,ARM移植. threadx是一个很好的多任务实时嵌入式操作系统,ThreadX Library for ARM RISC microprocessor. ThreadX is a high performance RTOS that is wildly used in industrail world-wide.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:291654
    • 提供者:zsq
  1. web_cpu88.zip

    0下载:
  2. Intel微处理器8088的VHDL实现,可以用ModelSim进行仿真测试。,Realization of intel microprocessor 8088 in VHDL language, and can be tested and simulated with ModelSim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:604232
    • 提供者:卢刚
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com