CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - mips

搜索资源列表

  1. Lab9-Forwarding-Unit

    0下载:
  2. CSCE2214课程设计,试验9源代码。实现流水线结构的MIPS CPU 16位。配有强大的Forwarding Unit.-CSCE2214 curriculum design, test 9 source code. Implement pipelined MIPS CPU 16 place. With a strong Forwarding Unit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:642416
    • 提供者:Masson
  1. fs2_ex

    0下载:
  2. 用于mips系统开发,能够进行调试,开发,跟踪。开发mips系统的启动。-for mips cpu development
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-08
    • 文件大小:1187
    • 提供者:zhou jun
  1. MIPS_INSTRUCTIONS

    0下载:
  2. 介绍了MIPS的基本指令,非常适合MIPS开发-Describes the basic instructions of MIPS
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:229504
    • 提供者:liuh
  1. PipelineCPU

    0下载:
  2. 设计一个32位流水线MIPS微处理器,具体要求如下: 1. 至少运行下列MIPS32指令。 ①算术运算指令:ADD、ADDU、SUB、SUBU、ADDI、ADDIU。 ②逻辑运算指令:AND、OR、NOR、XOR、ANDI、ORI、XORI、SLT、SLTU、SLTI、SLTIU。 ③移位指令:SLL、SLLV、SRL、SRLV、SRA。 ④条件分支指令:BEQ、BNE、BGEZ、BGTZ、BLEZ、BLTZ。 ⑤无条件跳转指令:J、JR。 ⑥数据传送指令:LW、SW
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:11827
    • 提供者:Peter
  1. bedd05b29116

    0下载:
  2. UCOSII在MIPS上面已成功运行。示例代码,可以供参考 -UCOSII on MIPS above has run successfully. Sample code, can be used for reference
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:461468
    • 提供者:taran
  1. m3u8streamtv_2.9_all

    0下载:
  2. Python开发的扩展件,用于基于MIPS Cpu 的Enigma2固件下流媒体播放。所有采用MIPs处理器的机顶盒都可以安装。-m3u8 extension for Enigma 2
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:499449
    • 提供者:徐冰
  1. ralink-Uboot

    0下载:
  2. Ralink基于MIPS系列的路由器,Uboot驱动程序-Ralink based on the MIPS series routers, Uboot drivers
  3. 所属分类:MTK

    • 发布日期:2017-04-09
    • 文件大小:1271305
    • 提供者:panpan
  1. CPUdesign

    0下载:
  2. 32位MIPS五级流水CPU,实现了基本指令功能,结构完整,讲解清晰。-32bitCUP design
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3567438
    • 提供者:杨硕
  1. muCPU_final

    0下载:
  2. 用Verilog开发的多周期CPU,可执行mips汇编中的R\I\J型指令,具有较高的参考价值。-Using Verilog development of multi-cycle CPU, mips executable compilation of R \ I \ J-type instruction, with a high reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2059928
    • 提供者:孔晗聪
  1. mips1

    0下载:
  2. MIPS implementation in SystemC
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-26
    • 文件大小:196064
    • 提供者:Dh
  1. goahead-master

    0下载:
  2. 是一个可嵌入式的、跨平台的小型 HTTP 服务器的实现,实现了基本的 GET 和 POST 方法,支持 x86 (Windows/Linux), ARM, MIPS 和其他 POSIX 平台。支持嵌入式和独立运行两种模式。-Is an embeddable, cross-platform implementation of the HTTP server for small to achieve the basic GET and POST methods support x86 (Window
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-12
    • 文件大小:2598179
    • 提供者:zhj
  1. p21

    0下载:
  2. mips pipeline的源代码,很简洁,很适合新手使用。大学三年级的必修课。-mips pipeline source code, very simple, very suitable for beginners to use. University of grade three compulsory.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:4621
    • 提供者:张伟
  1. 0

    0下载:
  2. 可在Mips模拟器SPIM上运行的程序代码 spim模拟mips冒泡排序-spim run mips of sorting
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1580
    • 提供者:toris
  1. 8Queen

    1下载:
  2. 利用Mips指令集进行汇编程序开发,实现8皇后问题的求解-Use Mips assembler instruction set developed to achieve 8 Queens problem solving
  3. 所属分类:汇编语言

    • 发布日期:2017-05-16
    • 文件大小:322954
    • 提供者:赵旭
  1. micro-op_cpu

    0下载:
  2. MIPS 微程序多周期cpu,mips的部分代码实现-MIPS cpu micro-program multi-cycle
  3. 所属分类:Other systems

    • 发布日期:2017-05-12
    • 文件大小:3043224
    • 提供者:qwen.wang
  1. CoolPlayer-RC2

    0下载:
  2. wince下mips架构播放 wince下mips架构播放-wince for mips wince for mips wince for mips
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:242231
    • 提供者:
  1. task1

    0下载:
  2. 编译实习作业,实现一个简单的编译器,将Minijava翻译成MIPS程序。主要分为,向Piglet、Spiglet、Kanga、Mips转化这4个中间步骤-Compile Practice Project. A simple compiler to compile Minijava to Mips
  3. 所属分类:Java Develop

    • 发布日期:2017-05-12
    • 文件大小:2578005
    • 提供者:冯一
  1. Virtual-Machine

    1下载:
  2. C++模拟mips处理器,能执行mips二进制代码,支持大部分mips指令,可选文字显示和图片显示两种模式-C++ simulation mips processor can execute binary code mips, support most mips instruction, optional text display and picture display modes
  3. 所属分类:Other windows programs

    • 发布日期:2015-01-18
    • 文件大小:601088
    • 提供者:Chan Cheng
  1. multi-CPU

    0下载:
  2. 多时钟CPU设计,spartan 3e板上试验通过,支持部分mips指令,内含示例mips代码及二进制文件-Multiple CPU clock design, spartan 3e board test passed, support some mips instruction, containing sample code and binary files mips
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-24
    • 文件大小:14329
    • 提供者:Chan Cheng
  1. single-CPU

    0下载:
  2. 单时钟CPU设计,spartan 3e板上试验通过,支持部分mips指令,内含示例mips代码及二进制文件-Single CPU clock design, spartan 3e board test passed, support some mips instruction, containing sample code and binary files mips
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:15231
    • 提供者:Chan Cheng
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com