CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - modelsim 6.6

搜索资源列表

  1. digital_system_CAD_lab_direction

    0下载:
  2. 数字系统CAD 开发平台实验部分共有6 个实验,内容覆盖了ISE 的设计使用、片内逻 辑分析仪ChipScope 的使用、设计仿真工具Modelsim 的使用、以及嵌入式系统设计工具EDK的使用等内容。在每个实验的说明中分别介绍它们的使用。 包括: 实验一、7 段数码管显示简单的时钟 实验二、设计串口与计算机通信 实验三、A/D 采样模块设计 实验四、使用DAC7634 设计频率发生器 实验五、频率发生器的设计与仿真 实验六、应用嵌入式系统设计基本的串口收发程序
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1178587
    • 提供者:abcoabco
  1. Altera Modesim破解版的LICENCE

    0下载:
  2. Altera Modesim破解版的LICENCE. 下载解压后: 1.直接运行mentorkg.exe(生成的license.txt拷贝到D:\altera\80\modelsim_ae\下或者mentorkg.exe拷贝到此目录下运行). 2.设置环境变量lm_license_file="D:\altera\80\modelsim_ae\license.txt" 3.搞定,Altera Modesim cracked version of the LICENCE.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-24
    • 文件大小:313152
    • 提供者:xingyu
  1. verilog1

    0下载:
  2. 用verilog语言编写的6分频分频计数器。分频后用来控制蜂鸣器响,也可以修改代码做成更高分频的计数器。压缩包内也包含此分频器的modelsim仿真文件-Verilog language with 6 frequency divider counter. Frequency and used to control the buzzer sound, you can modify the code to make a higher frequency counter. Compressed pac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143141
    • 提供者:广子
  1. ModelsimUserGuide

    0下载:
  2. Modelsim使用教程,是SE5.3的版本的说明,有点老,不过同现在的6.2b相比,界面都差不多。-ModelSim Tutorial is SE5.3 version of that little old, but with 6.2b now compared to the interface are similar.
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:505831
    • 提供者:张前
  1. cordic_atan

    5下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated usin
  3. 所属分类:数学计算/工程计算

    • 发布日期:2014-01-08
    • 文件大小:80195
    • 提供者:Jorge
  1. Verilog1C21B21A4_1237797332

    0下载:
  2. Verilog HDL Introduction 1.1 Verilog HDL Introduction 1.2 The basic concept of using the Verilog 1.3 Verilog HDL design concept of modular and hierarchical 1.4 Gate-level design module 1.5 data processing module design 1.6 Behavior Model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4396436
    • 提供者:vkiy
  1. easy_to_modelsim

    0下载:
  2. 这里包含6个modelsim的学习资料,包括了经典教程、答疑和分别针对VHDL、Verilog语言的仿真例程。-This contains six modelsim of learning materials, including the classic tutorial, tutorials, and were aimed at VHDL, Verilog simulation language routines.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4789645
    • 提供者:wushumin
  1. encoder_Z64_all_rate

    0下载:
  2. Wimax矩阵的LDPC编码器,已通过modelsim仿真测试,并前在altera的FPGA板上通过测试,码率5/6,可进入代码内部修改参数,支持2/3,3/4其他2个码率,数据吞吐量为700M-Wimax based LDPC encoder, modelsim simulation passed, also passed on altera FPGA board, code rate 5/6, also support 2/3,3/4, throughout 700m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:225376
    • 提供者:姜勇吉
  1. Example-b8-1

    0下载:
  2. 使用ModelSim对Altera设计进行功能仿真的简要操作步骤 1.建立仿真工程 2.Altera仿真库的编译与映射 3.编译HDL源代码和Testbench 4.启动仿真器并加载设计顶层 5.打开观测窗口,添加信号 6.执行仿真-Using ModelSim Altera design for functional simulation brief Procedure 1. Create a simulation project Compilation and map
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4095070
    • 提供者:朱潮勇
  1. Example-b8-2

    0下载:
  2. 使用ModelSim对Altera设计进行时序仿真的简要操作步骤 1.建立工程,设置仿真工具选项参数 2.使用Quartus II编译工程 3.建立仿真工程 4.Altera仿真库的编译与映射 5.编译HDL源代码和Testbench 6.启动仿真器并加载设计顶层 7.打开观测窗口,添加信号 8.执行仿真-Using ModelSim Altera design for timing simulation of brief steps 1. Establish pro
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:2948200
    • 提供者:朱潮勇
« 1 2 3 4 5»
搜珍网 www.dssz.com