CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pseudo random sequence generator

搜索资源列表

  1. mseq

    0下载:
  2. MATLAB实现的伪随机序列产生器源代码接收机建模-MATLAB implementation of the pseudo-random sequence generator source code for the receiver modeling
  3. 所属分类:3G develop

    • 发布日期:2017-03-24
    • 文件大小:2805
    • 提供者:秦志杰
  1. randBBS

    1下载:
  2. BBS伪随机序列产生器 可以生成伪随机序列 是流密码加密的方法之一-BBS pseudo-random sequence generator can generate pseudo-random encryption stream cipher sequence is one of the ways
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:330921
    • 提供者:扬扬
  1. CPLD-radom

    0下载:
  2. 基于C P L D 的伪随机序列发生器,用FPGA产生随机序列的-CPLD-based pseudo-random sequence generator, generate random sequences using FPGA
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:248618
    • 提供者:jackk
  1. ds_programme

    0下载:
  2. 直接序列扩频通信系统仿真程序,包括伪随机序列产生、扩频、加噪、接收等。-Direct sequence spread spectrum communication system simulation program, including the pseudo-random sequence generator, spread spectrum, plus noise, the receiver and so on.
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:200651
    • 提供者:牛顿
  1. m_sequence

    0下载:
  2. 伪随机序列产生器。希望对大家有所帮助-Pseudo-random sequence generator. We want to help. . . . . . . . . . . . . . . . . .
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1455
    • 提供者:LNQ
  1. Rayleigh

    0下载:
  2. 通信信号处理课程项目,移动通信中的Rayleigh衰落伪随机序列产生器源代码~-Communication Signal Processing course project, in the Rayleigh fading mobile communication pseudo-random sequence generator source code ~
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:2808
    • 提供者:patrick
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. m_vhdl

    0下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。-Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial valu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:660
    • 提供者:haodiangei
  1. 2134asdf

    0下载:
  2. 这个算法用于生成2元、3元及5级的,M序列。用于直接扩频通信等系统中的,伪随机序列生成器。-The algorithm used to generate two yuan, 3 yuan and 5-level, M sequence. For direct spread spectrum communications systems, pseudo-random sequence generator.
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2809
    • 提供者:沈春龙
  1. Generator

    0下载:
  2. pseudo-random sequence of numbers given by the following formula: Ui +1 = (M * Ui) mod p, Ri = Ui / p, where M, Ri and p are integers, 0 <Ri <1 and 1 <Ui <p-1. Investigate the influence of the values ​ ​ of R0 on the qu
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-30
    • 文件大小:785404
    • 提供者:Vladimir
  1. ofdm1

    4下载:
  2. 由MATLAB程序产生2Gbi/s的基带OFDM信号先将2^15-1个伪随机序列映射到256个进行4QAM编码调制的子载波上,编码后的OFDM符号再经过IFFT变换将其转换为时域波形,接着添加6.4ns的循环前缀(CP),产生的数据波形再装载到Tektronix任意波形发生器(AWG)中,产生2Gbit/s的基带OFDM信号。基带OFDM信号频谱示意图如图3所示。其中包括256个OFDM子载波256个子载波中200个子信道用来传送数据信号,27个高频和28个低频子信道为了过采样的需要置0,OFD
  3. 所属分类:Communication-Mobile

    • 发布日期:2015-05-18
    • 文件大小:220160
    • 提供者:QQ
  1. VHDL-source-code

    1下载:
  2. 一些有用的VHDL代码 包括伪随机序列发生器等-VHDL code, including some useful pseudo-random sequence generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:44839
    • 提供者:yfgf
  1. sequential-detactor

    0下载:
  2. 本次例程包括七阶伪随机序列发生器、序列码检测器,奇偶校验器、CRC(循环冗余)校验器,并附有FPGA的代码和仿真。-The routines including seven order pseudo-random sequence generator, sequence yards detector, parity validator, CRC (cyclic redundancy) validator, and with FPGA code and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:268515
    • 提供者:明晓昕
  1. seq_gen

    0下载:
  2. matlab编写的伪随机序列发生器,包括小m序列、大M序列,gold序列-pseudo-random sequence generator matlab prepared, including a small m sequence, M sequences, gold sequences
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1630
    • 提供者:wangxin
  1. 18472582m_sequence_3

    0下载:
  2. 基于lfsr的伪随机序列发生器,带有游程检测-Pseudo-random sequence generator based on lfsr with run detection
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-21
    • 文件大小:849
    • 提供者:张振鹏
  1. NIST-random-number-test-standards

    1下载:
  2. 这套NIST(国家标准与技术研究所)测试程序是一个统计包,包括16种测试手段。这些测试手段可测试由用作保密随机或者伪随机数发生器的硬件和软件产生的任意长的2进制序列的随机性。这些测试手段主要致力于判定可能存在于序列中的多种多样的非随机性。其中一些测试又可以分解成多种子测验。这16种测-Set NIST (National Institute of Standards and Technology) test procedure is a statistical package, includin
  3. 所属分类:Communication

    • 发布日期:2017-11-04
    • 文件大小:970483
    • 提供者:陈娟
  1. ICECS08_Final

    0下载:
  2. 伪随机序列产生器,利用GOLLON 级联F-FCSR产生伪随机序列,FPGA实现功能,仿真,结果分析-Pseudo-random sequence generator using GOLLON cascade F-FCSR generate pseudo-random sequence, FPGA implementation function, simulation results analysis
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:241609
    • 提供者:李辛
  1. PRNG

    0下载:
  2. 基于FPGA伪随机序列产生器,GOLLMANN级联F-FCSR,产生伪随机序列-FPGA-based pseudo-random sequence generator, GOLLMANN cascade F-FCSR, generating pseudo-random sequence
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:1830
    • 提供者:李辛
  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)--Design of VHDL algorithm for pseudo random sequence generator is a pseudorandom sequence generator, using the generating polynomial 1+X^3+X^7. RESET has a cli
  3. 所属分类:File Formats

    • 发布日期:2017-05-06
    • 文件大小:1304572
    • 提供者:沙爽
  1. xiandaimimaxue

    0下载:
  2. 了解现代密码学的基础知识,其中包括古典密码学,单向函数,伪随机序列生成器,序列密码,分组密码,公钥密码学。这是大学现代密码学的课程内容,从第一章至第九章,都较为基础。-Knowledge of modern cryptography, including classical cryptography, one-way function, pseudo random sequence generator, sequence cipher, block cipher, public key cryp
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-22
    • 文件大小:6186626
    • 提供者:米儿
« 1 23 »
搜珍网 www.dssz.com