CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm verilog

搜索资源列表

  1. PWM

    0下载:
  2. 自己写的一个pwm模块,verilog的,是用于无刷电机控制的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2848
    • 提供者:李凯
  1. PWM

    0下载:
  2. Core_PWM,verilog语言编写,可用于电机驱动
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5007405
    • 提供者:zhan
  1. PWM

    0下载:
  2. Core_PWM,verilog语言编写,可用于电机驱动
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2441
    • 提供者:zhan
  1. cpld-pwm

    0下载:
  2. 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:79764
    • 提供者:emily
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. avalon_pwm_module_v2.51_completed

    0下载:
  2. 数字PWM的verilog描述,适合于数字控制的DC-DC-Digital PWM s Verilog descr iption, suitable for digital control of DC-DC
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-01
    • 文件大小:1639
    • 提供者:icseubear
  1. PWM_ise9migration

    0下载:
  2. verilog 语言去产生可以调节占空比的pwm波形 去控制信号管的开通和关断
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:234181
    • 提供者:胡汗
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. pwm

    0下载:
  2. PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware descr iption language! Useful reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:76376
    • 提供者:周涛
  1. VerilogHDLPWM

    0下载:
  2. Verilog HDL编写的PWM,已运行-PWM Verilog HDL prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:241371
    • 提供者:jessie
  1. pwm

    0下载:
  2. 适合初学者对PWM调制的学习,解释比较明确,由于来元于核心程序,功能强大-Enables the keyboard scan code in Verilog source code, clear for beginners Comments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2155
    • 提供者:上关蓝乡
  1. PWM

    0下载:
  2. 调制输出的脉冲宽度,进行电机的控制,能,控制电机的速度,能正负反转!-Pulse width modulated output, the motor control, can control the motor speed, can reverse the positive and negative!
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:179059
    • 提供者:liuyong
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18572217
    • 提供者:谷向前
  1. pwm

    0下载:
  2. 利用verilog来实现PWM信号的产生-PWM verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1058
    • 提供者:meihanfei
  1. PWM

    0下载:
  2. 通过设置时钟实现脉冲宽度调制的verilog代码及测试(By setting the clock to achieve pulse width modulation of the Verilog code and test)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:155648
    • 提供者:老虎szjwl
  1. 测pwm波占空比

    1下载:
  2. 基于Verilog的接受pwm波并且测量pwm波占空比(Measuring the duty cycle of PWM wave)
  3. 所属分类:VHDL/FPGA/Verilog

  1. pwm with tb final

    0下载:
  2. pwm with testbench in verilog ,synthesizable
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:189440
    • 提供者:addy007
  1. PWM

    1下载:
  2. 利用Verilog语言设计一个PWM控制器,实现:控制器输入时钟1MHz;控制器输出脉冲周期1kHz,脉宽最小调节步长0.1%。(The Verilog language is used to design a PWM controller, which is realized: the controller input clock 1MHz; the controller output pulse cycle 1kHz, and the pulse width minimum adjustme
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:62464
    • 提供者:jcg17
  1. pwm控制直流电机_verilog_l9110

    0下载:
  2. VERILOG语言 控制的直流电机 在各大数字逻辑软件如VIVADO ise 均可使用 功能强大 简单易学(motor controlled by VERILOG HDL)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:10240
    • 提供者:铭润
  1. pwm

    0下载:
  2. 本程序可以实现输出不同占空比(0-100)和不同频率的pwm波形;满足驱动不同硬件的需求;(This program can output PWM waveforms with different duty cycles (0-100) and different frequencies, and meet the needs of different hardware drivers.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:7469056
    • 提供者:DA北岛
« 1 23 4 5 6 »
搜珍网 www.dssz.com