CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartusII

搜索资源列表

  1. ser_to_parr

    0下载:
  2. 很有用的10bit串并转换verilog程序,需要的可以拿去参考下,在quartusII上已验证过-Useful 10bit string and convert verilog program, need to take a reference, has been verified in quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1371448
    • 提供者:王诚
  1. rs

    0下载:
  2. RS(255,239)verilog代码,已通过quartusII仿真,满足设计要求,需要的可以拿去参考-RS (255,239) Verilog code, through quartusII Simulation meet the design requirements, the need to take reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:727180
    • 提供者:王诚
  1. niosiiseg7

    0下载:
  2. 在QuartusII中利用niosII构建内核控制一位数码管显示0—F,经过硬件测试。-Use niosII build kernel control a digital tube in the QuartusII 0-F, after a hardware test.
  3. 所属分类:Com Port

    • 发布日期:2017-05-17
    • 文件大小:4917494
    • 提供者:张朗
  1. diffdecoding

    0下载:
  2. 基于FPGA的差分编码,环境为QuartusII,语言为Verilog-Differential coding differential encoding differential encoding differential encoding differential encoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48174
    • 提供者:闫俊
  1. singen

    0下载:
  2. 利用vhdl在quartusii中编写的正弦信号发生器,并在quartusii中进行了仿真-Using the VHDL in a QuartusII in the preparation of the sinusoidal signal generator, and makes simulation in QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:551886
    • 提供者:sunyanjuan
  1. dds_wave

    0下载:
  2. 基于数字频率合成技术DDS,在quartusii编写相关代码,实现正弦信号输出,同时可以实现调幅、调频和数码管闲事-Based on the digital frequency synthesis technology of DDS, written in QuartusII code, achieve sinusoidal signal output, and can achieve amplitude modulation, frequency modulation and digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9920058
    • 提供者:sunyanjuan
  1. quick-Getting-Started-manual

    0下载:
  2. quartus i i 快速入门手册(全)-quartusii quick Getting Started manual
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:261972
    • 提供者:lizihe
  1. FPGA_VHDL_Clock

    0下载:
  2. 利用QuartusII VHDL硬件描述语言写的一枚简单的小时钟,具有设定闹钟,设定时间,设定闹钟长度的功能-The QuartusII the VHDL hardware descr iption language used to write a simple little clock set the alarm, set time, set the alarm clock the length of the function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274027
    • 提供者:Su,Songmu
  1. 4BITMULT

    0下载:
  2. 基于FPGA的四位乘法器,在QuartusII上编译通过可实现,采用VHDL语言编写。-Based on FPGA four on time-multiplier, in QuartusII compiled can be realized through, the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:392425
    • 提供者:左云华
  1. CODER

    0下载:
  2. 基于FPGA的8线-3线优先编码器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA eight line-3 line is preferred encoder design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:226544
    • 提供者:左云华
  1. DECODER7

    0下载:
  2. 基于FPGA的BCD/七段译码器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA BCD/these seven decoder design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:286268
    • 提供者:左云华
  1. adder

    0下载:
  2. 基于FPGA的加法器的设计,QuartusII编译通过,采用VHDL语言编写。-The adder on FPGA design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:247732
    • 提供者:左云华
  1. COUNT10

    0下载:
  2. 基于FPGA的一个带有异步复位和同步时钟使能的十进制加法计数器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA with a reduction of asynchronous and synchronous clock can make the decimal additions counter design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:242887
    • 提供者:左云华
  1. SHIFT8

    0下载:
  2. 基于FPGA的串行输入并行输出寄存器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA serial input parallel output the design of the register, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:224385
    • 提供者:左云华
  1. shu-kong-fen-pin-qi

    0下载:
  2. 数控分频器的功能就是当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比,数控分频器就是计数值可并行预置的加法计数器设计完成,方法是将计数溢出与预置数加载输入信号相接即可。利用QuartusII软件,可以用VHDL语言进行编写程序的放法进行对数控分频器的设计。这里不需要很好的数字电路的知识,只要懂得VHDL语句就可以实现对数字电路功能的设计。-NC divider function is that when given different input data at the input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2729
    • 提供者:xuling
  1. counter

    0下载:
  2. 用vhdl语言,在QuartusII下,时序逻辑电路设计(带置位的异步可逆(加1或减1)6进制计数器)-With vhdl language, in QuartusII under sequential logic circuit design (set asynchronous reversible (plus or minus) hexa counter)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:305483
    • 提供者:李晶盈
  1. adder

    0下载:
  2. 用vhdl语言,在 QuartusII下,用图形输入方式,实现一个4位二进制全加器,经设备验证无错误,且运行良好-In QuartusII vhdl language, graphical input, a 4-bit binary full adder verified by the equipment error-free and running well
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:735610
    • 提供者:李晶盈
  1. dianzhenhanzi

    0下载:
  2. 用vhdl语言,在 QuartusII下,点阵生成小程序,用于在16*16点阵下显示名字等,经设备验证无错误,且运行良好-Vhdl language, in QuartusII lattice generate a small program used in the under 16* 16 dot matrix display name, device validation error-free, and well-run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:1147130
    • 提供者:李晶盈
  1. number

    0下载:
  2. 用vhdl语言,在 QuartusII下,在七段数码管上显示学号的程序,经设备验证无错误,且运行良好-Vhdl language segment digital tube display to learn the number of procedures, equipment validation error-free, and a good run in QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:285696
    • 提供者:李晶盈
  1. convertor

    0下载:
  2. vhdl语言编写的,在QuartusII下,组合逻辑电路设计(4位二进制码到BCD码的转换器)的设计,经验证无错误-Four BCD binary switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:259400
    • 提供者:李晶盈
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 ... 33 »
搜珍网 www.dssz.com