CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartusII

搜索资源列表

  1. wQuaartusII__e

    0下载:
  2. 介绍了QUARTUSII中ram的应用用,和一种基于它的NIOS嵌入式小系统设计 -Application of QUARTUSII in ram, and the NIOS embedded system design based on
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-22
    • 文件大小:307777
    • 提供者:linggan
  1. Multiplier16

    1下载:
  2. 本文设计了一种可以实现16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了补码一位乘(Booth算法), 简化了部分积的数目, 减少了某些加法运算,从而提高了运算速度。该乘法器利用Verilog代码实现,通过Modelsim软件对相应的波形进行仿真验证,并通过QuartusII软件对源码进行编译综合。-This paper designed a 16 signed/unsigned binary number multiplication of the multiplier can be a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5754546
    • 提供者:hxy
  1. Dwavegeneratoe

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。 -Development environment for the QuartusII can produce sine, triangle wave, square wave and sawtooth wave amplitude is 5V, sample 8, the development board has been verified by
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:505274
    • 提供者:hjmhjm11
  1. Count_30

    0下载:
  2. 篮球比赛三十秒计数器,在quartusII上进行设计的-Basketball game thirty seconds counter
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:177612
    • 提供者:张宏志
  1. tsobbellh

    0下载:
  2. 这是我本人自己开发的可用于256*256大小的图像进行sobel边缘检测的vhd文件,可在QuartusII或MaxplisII下综合与与仿真,并在FPGA上测试过。能进行修改支持其他大小图像的sobeel边缘检测,同时还能实现其它的图像模块化处理算法,例如高斯滤波,平滑等。 -This is my own development vhd file, can be used for 256* 256 size image sobel edge detection under QuartusI
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:2921
    • 提供者:兴奋
  1. ofdm_demodulation

    0下载:
  2. OFDM的解调 VHDL实现,quartusII 7.2环境-OFDM demodulation VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:44459
    • 提供者:徐盛晨
  1. FSKPSK

    0下载:
  2. 基于QuartusII的FSK、PSK实现,完整工程文件,下载就可以运行。-Based QuartusII of FSK, PSK implementation, complete project file, download to run.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:301810
    • 提供者:zyh
  1. quartusii

    0下载:
  2. 本文档介绍quartus2的使用过程,是初学的入门的好参考资料-quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1292735
    • 提供者:王治民
  1. Example-b4-1

    0下载:
  2. 利用quartusII开发软件的宏功能模块调用功能,定制了一个双端口RAM。-Utilize quartusII development software macro function module calls a function to customize a dual-port RAM.
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:557118
    • 提供者:wuwanzheng
  1. PLD-sub

    0下载:
  2. sub,加法器,quartusII中模块输入形式,仿真加法器时序和功能-a simulation example of sub
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:210416
    • 提供者:beginner
  1. quartus-mult

    0下载:
  2. mult,在quartusII中,以模块输入形式,仿真乘法器mult,得到时序图和功能图-a simulation example of mult
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:299417
    • 提供者:beginner
  1. quartusII-manual

    0下载:
  2. 说明了Quartus 2的使用方法,有图可同步操作-Illustrates the use of the Quartus 2, a graph can be synchronous operation
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:2458799
    • 提供者:文教普
  1. single-clock-CPU

    0下载:
  2. 单时钟周期CPU,verilog语言编写,quartusII运行-A single clock cycle CPU
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-11
    • 文件大小:2103894
    • 提供者:周骁
  1. Div

    0下载:
  2. 非常好用的小数除法器,verilog开发的。quartusii下综合通过-Very easy to use fractional divider, verilog developed. quartusii under comprehensive by
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:812699
    • 提供者:洪依
  1. the_last_one5.13

    0下载:
  2. 使用QUARTUSII编写的cdma2000建议的发送接收系统,verilog写的-Cdma2000 proposed transmission and reception system use QUARTUSII written, verilog written
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:1451566
    • 提供者:洪依
  1. QPSK

    0下载:
  2. quartusii下使用verilog编写的qpsk映射和解映射,比较简单的学习型代码-quartusii use verilog to write qpsk mapping and demapping relatively simple learning code
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:517244
    • 提供者:洪依
  1. 2FSK

    1下载:
  2. quartusii下编写的FSK代码,使用verilog编写的,比较简单的发送和接收部分-the FSK code written under quartusii, use verilog to write, simple sending and receiving part
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:279908
    • 提供者:洪依
  1. Quartus

    0下载:
  2. QuartusII多路选择器,数字电路环境,大三EDA技术实验-Quartus,chosen conductos in matheathics field
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:338944
    • 提供者:wangkun
  1. saomiao

    0下载:
  2. QuartusII平台下verilog语言实现的数码管动态扫描-The verilog language digital QuartusII platform tube dynamic scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:3483
    • 提供者:FantasyDR
  1. CLK

    0下载:
  2. QuartusII平台verilog语言实现的CLK下降沿测试-CLK falling edge QuartusII platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:2844
    • 提供者:FantasyDR
« 1 2 ... 19 20 21 22 23 2425 26 27 28 29 ... 33 »
搜珍网 www.dssz.com