CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram 读写

搜索资源列表

  1. ram_fifo

    0下载:
  2. Altera RAM FIFOIP核,实现对FIFO的读写,对满信号和空信号进行判断.-altera ram fifo ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3232812
    • 提供者:xuguo
  1. F2812_ExRam

    0下载:
  2. F2812 扩展外部RAM实现读写数据(CCS3.3开发环境) 功 能:访问外部RAM空间,与外部RAM可以实现读写数据 说 明:本实验中,请在RamRead(0x4000)这一行设置断点,当运行至断点时,点击view然后点击memory来查看存储空间,Address填写0x00100000,点击ok,就能看到从0x00100000开始,各个存储单元的值从0开始递增。-F2812 to achieve extended external RAM read and write data (CCS3
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:89427
    • 提供者:奋斗不止
  1. F2812_EXFlash

    0下载:
  2. F2812扩展外部Flash,实现读写数据。(CCS3.3开发环境下) 功 能:访问外部Flash空间,与外部Flash可以实现读写数据 说 明:本实验是和外部RAM实验连起来的,先给外部RAM进行写数据操作,然后再把RAM里的数据写到外部FLASH中。本实验中,请在BlockErase(0)这一行设置断点,当运行至断点时,点击view,然后点击memory来查看存储空间,Address填写0x00080000,点击ok,就能看到从0x00080000开始,各个存储单元的值从0开始递增。-F
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:89242
    • 提供者:奋斗不止
  1. ch375

    0下载:
  2. MCS-51单片机C语言的U盘文件读写示例程序, 适用于ATMEL/PHILIPS/SST等具有1KB内部RAM以及双DPTR的单片机,该程序将U盘的/C51/CH375HFT.C文件中的每64字节的前4个字符显示出来(显示4字符,跳过60字符,显示4字符。-MCS-51 microcontroller C language sample program to read and write U disk file for ATMEL/PHILIPS/SST and the other with
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:104824
    • 提供者:wangping
  1. sram-test

    0下载:
  2. 静态RAM 的读写操作 测试文件,很适合初学者和相关工程人员-SRAM test DOC for engineer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1485
    • 提供者:陈凯
  1. cpldrealizing-DUALportRAM-vhdl

    0下载:
  2. 双端口RAM 实现对于RAM的同时读写操作-dualport ram with the VHDL to realize read or write the ram at the same time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:781502
    • 提供者:夏文瀚
  1. Hydrogen_Core51

    0下载:
  2. Hydrogen/C51 是一个用于51的非占先操作系统 基本上用纯 C 实现, 嵌入了大概10行的汇编 基本需求: 1.最小编译代码大概在 2.4k 2.需要使用片外的 xdata 作为堆使用 3.占用 TIMER0 作为计时 使用基本要求: 1.任务中必需要存在 Sleep/WaitFor 函数才能主动放弃占用CPU 2.任务入口和使用到Sleep/WaitFor的函数必需是 reentrant. 内核功能: 1.动态建立任务 2.支持事
  3. 所属分类:SCM

    • 发布日期:2017-05-13
    • 文件大小:3266712
    • 提供者:binary
  1. ZhanYaoCheckCan

    0下载:
  2. 对windows文件映射的利用,对文件的读写跟直接在内存中一样。-Using the Windows File Mapping,we easily can read or write the file just like it is in the RAM
  3. 所属分类:File Operate

    • 发布日期:2017-05-19
    • 文件大小:5436459
    • 提供者:钱文良
  1. TASKTEST

    0下载:
  2. 基于C33的DSP,用于4通道的力矩控制,为PID控制,其中是PCI总线,通过双口RAM的读写来确保和PC的实时性通讯-Based on the C33' s DSP torque control for 4-channel PID control.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6467
    • 提供者:lihe
  1. staticram_driver

    0下载:
  2. 基于at91rm9200的sram驱动,sram为16k*16的双口ram,测试通过,读写正常-Based at91rm9200 the sram drive, sram 16k* 16 dual port ram test passed, read and write properly
  3. 所属分类:Driver develop

    • 发布日期:2017-12-02
    • 文件大小:10445
    • 提供者:王新
  1. program

    0下载:
  2. 1、 编程完成显示程序,要求在实验箱BANK2部分数码管上显示“1234”,请认真阅读电路,计算出段码锁存器和位选锁存器的地址,显示程序仍然按照“送段码、送位选、延时、消隐”的十字方针进行控制,只是控制的方法不同了,此时锁存器的LE端口由地址总线控制。 2、 根据实验箱BANK2部分电路,完成由8255的I/O扩展操作,在8255A的PA口上接有8个发光二极管,在其上完成一流水灯程序。 3、 根据实验箱BANK2部分电路,完成由8255的I/O扩展操作,将8255A PB口上外接的拨码开
  3. 所属分类:assembly language

    • 发布日期:2017-11-27
    • 文件大小:12435
    • 提供者:刘琪
  1. ram_control_17_xian

    0下载:
  2. 基于VHDL的ram控制器,8根输入,8根输出,1根读写控制线。实现ram的读写控制-The ram controller based on VHDL, 8 input and 8 output, a read-write control lines. Ram read and write control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:88320
    • 提供者:zhangjiefei
  1. WriteDulePortRam

    0下载:
  2. c8051f020,读写双口RAM, c8051f020,读写双口RAM,-c8051f020, dual-port RAM read and write C8051f020 read and write dual-port RAM, C8051f020 dual-port RAM read and write,
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:781
    • 提供者:王刚
  1. ExRam

    0下载:
  2. dsp2812外部RAM空间数据读写,通过程序来实现对外部存储空间的访问-dsp2812 external RAM space data reading and writing, through the program to achieve access to the external storage space
  3. 所属分类:DSP program

    • 发布日期:2017-11-30
    • 文件大小:107032
    • 提供者:danlong
  1. CPLD_AD_AVR

    0下载:
  2. CPLD程序,程序中实现了PWM波的产生、ADS8364并行高速AD的读写控制,与AVR单片机的通信控制。CPLD以类似外部RAM的方式被AVR读写,AVR单片机只需要向固定的地址写入或者读取即可。 本程序对高速数据采集系统有很好的参考作用,可以以此修改为其他应用场合。-The CPLD program, the program to achieve a PWM wave generation high-speed AD ADS8364 parallel read and write con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:4355
    • 提供者:emouse
  1. w_time

    0下载:
  2. DS1302时间和RAM的读写-DS1302 time and the read and write RAM-DS1302 time and the read and write RAM
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-18
    • 文件大小:1702
    • 提供者:gsder
  1. UT6225cx

    0下载:
  2. 外部扩展RAM的程序例程,包括读外部RAM,写外部RAM-failed to translate
  3. 所属分类:SCM

    • 发布日期:2017-11-25
    • 文件大小:275957
    • 提供者:李磊
  1. sram-io

    0下载:
  2. cycloneII 芯片的4块static ram的读写控制-cycloneII 4 static ram chips read and write control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:72702
    • 提供者:twb
  1. RAM_VHDL

    0下载:
  2. 用VHDL描述了一个32KBit的独立的读写时钟、使能、地址的双口RAM,-VHDL descr iption of a 32KBit with independent read and write clock, enable, address the dual-port RAM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:515
    • 提供者:dengyaohui
  1. arm_fpga.tar

    0下载:
  2. 实现TQ2440与FPGA的通信功能,将FPGA当作ARM的一个外设,通信方式为静态RAM方式。在代码中,我实现了向FPGA读写数据的功能,已经做过测试。没问题-make the TQ2440 board commuciate with the FPGA board.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-02
    • 文件大小:296150
    • 提供者:王晓丰
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com