CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sd卡 verilog

搜索资源列表

  1. SD_W_R

    0下载:
  2. SD卡读写源代码.用Verilog编写.很不错.值得借鉴.特别对SD卡开发的人员!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3902
    • 提供者:ZZ
  1. DE2_SD_Card_Audio

    0下载:
  2. SD卡读取音频数据,由VGA显示。Verilog HDL语言编写,适用DE2实验箱
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3480
    • 提供者:白雪
  1. sd_ctrl

    1下载:
  2. 利用verilog实现对SD卡的控制,可以实现对SD卡的读写。-Verilog SD
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-10
    • 文件大小:3886
    • 提供者:meihanfei
  1. VGA_CCD531

    0下载:
  2. 本文围绕一个包含Nios II软核处理器的可编程片上系统展开数码相机的样机设计。论文首先对样机所要达到的整体功能进行了规划,接下来并行开展了软硬件设计。在硬件方面,充分利用了所使用平台提供的SD卡插槽、键盘、数码管、SRAM等各种硬件资源,并用Verilog HDL硬件描述语言设计了样机系统所需要的VGA接口控制器、CMOS图像传感器接口控制器以及VGA显示存储器;在软件方面,本文基于Nios II软核处理器用C语言实现了SD卡的驱动、FAT文件系统的移植、VGA显视器的驱动以及BMP图片文件的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:15078555
    • 提供者:
  1. SD_SDRAM_LCM_PROJECT

    1下载:
  2. verilog控制SD卡与SDRAM之间数据传输及LCM显示,希望对大家有帮助-The verilog Control SD card with SDRAM between data transmission and LCM hope everyone
  3. 所属分类:Other systems

    • 发布日期:2016-04-14
    • 文件大小:3490816
    • 提供者:yxm
  1. SD_Card_test

    1下载:
  2. SD卡读写程序,SPI接口实现,采用verilog hdl实现- SD read and write test
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-27
    • 文件大小:3059607
    • 提供者:zhangqiang
  1. MySD_Card

    0下载:
  2. verilog 语言,介绍在niosII下的SD卡读写。-verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:4669567
    • 提供者:柳霖风
  1. RD1088_rev01.2

    1下载:
  2. FPGA或CPLD读取SD卡的IP核,基于wishbone接口,支持SDHC2.0,包含了使用说明,为Verilog语言编写-FPGA or CPLD reads the SD card IP core, based on the wishbone interface, support SDHC2.0, contains instructions for the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-03
    • 文件大小:1403904
    • 提供者:andy
  1. SD_SPI

    0下载:
  2. 读写SD卡的Verilog程序,希望对大家有用!-SD card reader Verilog program, I hope useful for everyone!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1133654
    • 提供者:dean
  1. sd_verilog

    0下载:
  2. 关于sd卡的控制器verilog源代码,基于wishbone的总线协议
  3. 所属分类:VHDL编程

  1. Cyclone4_SD_Card_Audio_Player

    1下载:
  2. 基于cyclone4 FPGA芯片的音频播放器完成项目工程,包括SOPC项目代码,以及SD卡读取模块Verilog IP,以及完整的Q2下项目工程。-Cyclone4 FPGA chip based audio player to complete the project works, including the SOPC project code, and SD card reader module IP, as well as complete Q2 next project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2372462
    • 提供者:bankfly
  1. sd_test

    0下载:
  2. 是一个基于verilog语言编写的sd卡读写程序,在DE2开发板上实现,可以直接使用-It is a verilog program of SD read-write. it is in DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8413878
    • 提供者:瑾琨
  1. sd_controller.v

    0下载:
  2. SD卡的IP核,Verilog代码编写,与MCU挂载后实现SD卡的读写数据。-SD card IP core,programmed by verilog,link to MCU can R/W data to the SD card.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:236863
    • 提供者:王景民
  1. hello_sd

    1下载:
  2. 基于fpga verilog 语言和nios ii实现的spi模式下sd卡驱动,以及加入znfat文件系统的sd卡驱动,可读取sd卡内的文件。-Based on the language and under the fpga verilog realize spi mode nios ii sd card driver, and adding znfat sd card file system driver, you can read files sd card.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24583851
    • 提供者:lht
  1. spimaster

    0下载:
  2. SD卡读写源代码.用Verilog编写.很不错.值得借鉴.特别对SD卡开发的人员!--SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-13
    • 文件大小:2656839
    • 提供者:管洪炎
  1. sdcard_mass_storage_controller

    0下载:
  2. SD卡的读取,FPGA读取sd卡,用verilog语言编写-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2339499
    • 提供者:管洪炎
  1. sd_vga_photo

    0下载:
  2. Verilog程序,实现从SD卡中读取图片数据,然后通过vga在屏幕上显示。-Verilog procedures, read the image data the SD card, and then by vga display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13115464
    • 提供者:zhijun
  1. nios_ruanhe_spi_3

    0下载:
  2. 这是我自己写的一个摄像头数据存储SD卡程序,quartus的verilog编写,摄像头采用自己添加的外设接口,数据采用dma采集,SD用的是软件自带的SPI内核以及znFAT的文件系统。帧率我没有测,有兴趣的可以测测,初学者可以参考学习,写的代码有点乱,如果有不懂的可以和联系。-This is what I wrote it myself a camera, SD card data storage program, quartus the verilog write, add their ow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29037568
    • 提供者:高政
  1. sd_ctrl

    1下载:
  2. Verilog写的基于FPGA的SD卡的读写程序,能够读出SD卡中存储的数据-Write Verilog FPGA-based SD card reader program, it is possible to read out the data stored in the SD card
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-10
    • 文件大小:1654244
    • 提供者:wangjiali
  1. 27_sdram_ov5640_sobel_vga

    1下载:
  2. 按键控制,vga显示,数码管显示,读取sd卡(Key control, VGA display, digital tube display, read SD card)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:19351552
    • 提供者:走过路过
« 1 23 »
搜珍网 www.dssz.com