CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - seven segment

搜索资源列表

  1. DS18B20temperaturesensor

    0下载:
  2. DS18B20 温度传感器读数据源程序 系统由中央控制单片机、温度检测器、时钟系统及显示器部分组成。控制器采用单片机AT89C51,温度检测部分采用DS18B20温度传感器,时钟系统用LED作为显示器。 单片机对数据处理后显示时间;温度传感器DS18B20采集温度信号送该给单片机处理,再把时间数据和温度数据送七段LED显示器显示,该系统还具有限温控制,当温度高于或低于某一给定温度值时分别有红灯和绿灯点亮提示,并伴随着蜂鸣器声音提示。本系统同时具有调节高低温上下限,时间调节功能-DS18B20
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:26941
    • 提供者:long
  1. ex4-3

    0下载:
  2. 七段显示器的控制,使用8051的Port1连接到一个共阳的七段显示器,然后让8051轮流显示0~9。-Seven-Segment Display control, the use of 8051 a total of Port1 is connected to the city' s Seven-Segment Display, and then rotate so that 8051 showed 0 ~ 9.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:8317
    • 提供者:水彩笔
  1. ex4-4

    0下载:
  2. 计时器Timer0的溢出中断控制:使用8051的P1连接到一个共阳七段显示器,然后利用计时计器Timer1,让8051在指定的时间间隔内显示出0~9。-Timer0 overflow interrupt timer control: the use of 8051 a total of P1 is connected to a Seven-Segment Display Yang, and then use time keiki Timer1, so that in 8051 within th
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:10459
    • 提供者:水彩笔
  1. BCDlight1998

    0下载:
  2. Proteus仿真51单片机控制多位数码管显示,BCD码控制数码管动态显示。 -51 microchip circuit system,simulated by proteus software ,control seven-segment lights.
  3. 所属分类:CSharp

    • 发布日期:2017-04-03
    • 文件大小:85763
    • 提供者:yangyi
  1. 7

    0下载:
  2. vhdl七段数码管显示程序,上机实验过,完全正确-Seven-Segment LED display vhdl procedure on the experimental machine, and absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4011
    • 提供者:weimin
  1. plsled7seg

    0下载:
  2. TPLSLED7Seg is a Delphi Visual Component representing a Seven Segment LED digit, used in digital clocks, counters and a host of other electronic equipment.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-25
    • 文件大小:174446
    • 提供者:anung
  1. Dev_io

    0下载:
  2. 基于CYPRESS CY7C68013 usb2.0的开发程序,该程序演示了访问IO的编写方法。-This directory contains the dev_io 8051 firmware The purpose of this software is to demonstrate how to use the buttons and LED on the EZ-USB developer s kit. The device I/O example progr
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:17880
    • 提供者:Willson Yang
  1. bcd_decode

    0下载:
  2. BCD 译码器,将8421BCD码转换成七段共阴A~G-Decoder BCD to Seven-Segment 8421BCD code into a total of Yin A ~ G
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:794784
    • 提供者:祁才君
  1. ex_seven_segment

    0下载:
  2. seven segment control for atmega128
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:29887
    • 提供者:Chang-Jin
  1. LED

    0下载:
  2. LED七段码数据生成,有共阴共阳区分,方便使用。-Seven-Segment LED code data generation, there were a total of yin-yang distinction, user-friendly.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:322183
    • 提供者:zhongbin
  1. qiduan

    0下载:
  2. 用vhdl语言实现按键操控多个七段码控制-Vhdl language with control buttons to control a number of Seven-Segment Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:142131
    • 提供者:邢旭
  1. Sevseg_only

    0下载:
  2. Three seven-segment count-down & rollback on 8051 in C
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:34696
    • 提供者:aman
  1. DECODE4_7

    1下载:
  2. BCD码到七段数码管的显示程序,已成功综合,仿真通过-BCD code to the Seven-Segment LED display procedures have been successfully integrated, simulation through
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:111458
    • 提供者:chendongkui
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. PicoBlaze_Embedded

    0下载:
  2. xilinx Picoblaze的例子,再PBus总线上挂接了按键模块、VGA输出模块和一个七段数码管显示模块-xilinx Picoblaze example, re-articulated bus PBus the key modules, VGA output module and a Seven-Segment LED display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1403719
    • 提供者:曹晶
  1. led8+7segmn_n

    0下载:
  2. Source code for led and seven segment
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:859
    • 提供者:Bhuvan
  1. arm7shuma

    0下载:
  2. arm7数码管驱动程序,可以实现linux环境下的七段数码管的驱动-arm7 nixie tube driver, can be achieved under the linux environment, driven by seven-segment digital tube
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:1545
    • 提供者:buzhidao
  1. LEDyinjiaotu

    0下载:
  2. 《七段数码管引脚图》 数码管使用条件: a、段及小数点上加限流电阻 b、使用电压:段:根据发光颜色决定; 小数点:根据发光颜色决定 c、使用电流:静态:总电流 80mA(每段 10mA);动态:平均电流 4-5mA 峰值电流 100mA -" Seven-Segment Digital control pin map" the conditions governing the use of digital: a, section and add a deci
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:150812
    • 提供者:duke
  1. show_numbers

    0下载:
  2. 在八位七段数码显示管上显示8位学号,要显示的学号可以在程序内改。-In the eight seven-segment digital display tube display 8 Student ID, Student ID to be displayed can be changed within the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:243031
    • 提供者:lzj
  1. qiduanmaxianshi

    0下载:
  2. 七段码显示源码,C8051F020应用程序-Seven-Segment display source code, C8051F020 Application
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29457
    • 提供者:刘刘
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 37 »
搜珍网 www.dssz.com