CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shake

搜索资源列表

  1. yaoyao

    0下载:
  2. 一个关于摇摇棒的制作,原理非常简单,利用人眼的暂留时间,可以使人在足够断的时间内感觉不到画面的变化,从而产生一个稳定的画面-Shake a stick on the production, principle is very simple, the use of temporary stay in time for the human eye, can give people a sufficient period of time off do not feel the changes in t
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:3764
    • 提供者:预备
  1. ext_interrupt

    0下载:
  2. 本程序简单的示范了如何使用ATMEGA16的外部中断 中断的设置 按键的简单延时防抖动 中断的嵌套-This program simply demonstrates how to use the ATMEGA16 external interrupt interrupt latency settings of simple anti-shake button interrupt nesting
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:20651
    • 提供者:古月
  1. ckdd

    0下载:
  2. 窗口抖动 能像QQ窗口一样进行抖动! 是易语言的源码!-Window, jitter can be the same as the QQ window to shake! Language source code is easy!
  3. 所属分类:其他小程序

    • 发布日期:2017-04-09
    • 文件大小:2503
    • 提供者:ning
  1. CANDY1

    0下载:
  2. 用VHDL实现的数字钟,实现消抖,计时,显示分秒,秒表等功能-VHDL implementation with digital clock and realize elimination shake, timing, displays minutes and seconds, stopwatch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1343
    • 提供者:凌云
  1. AnJianQuDou

    0下载:
  2. 常用按键去抖方法,该文档描述了去抖的原理及简单的程序说明。简单,易懂,适用。-Common keys to shake method, the document describes the principles to the shaking and simple descr iption of the procedures. Simple, easy to understand, apply.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:47803
    • 提供者:
  1. key

    0下载:
  2. 基于雨亭的51/AVR单片机开发板的按键扫描程序,在定时器中断中定时扫描,使用状态机进行按键去抖,与延时扫描相比效率较高,占用资源少-Yu Ting s 51/AVR microcontroller based development board scanner button, the timer interrupt in time scan, using the state machine button to shake, as compared with the delayed scan m
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:34760
    • 提供者:glf
  1. xiaojianpan

    0下载:
  2. 4_4小键盘扫描+VHDL语言,没有消抖的-4_4 keyboard scan+ VHDL language, do not shake the consumer
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:874
    • 提供者:Randy
  1. pingpang

    0下载:
  2. 本实验设计一个简单的乒乓球游戏机。它可由两个人进行游戏,游戏规则如下: 1.过早击球,对方获胜。 2.每次击球,若球飞出界外,对方获胜。 实验用6个状态代表乒乓球的运动轨迹,2个输出信号指示获胜方,2个输入信号代表双方的球拍,1个复位信号用于复位和准备发球。输入信号击球低有效。因为一般的开关在大约20ms内信号不稳定,存在所谓“开关抖动“,会产生多个脉冲影响电路的正常工作。所以含开关输入的设计需要作防抖处理,在本实验中可以用2Hz时钟采样击球信号实现防抖。 -The exp
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:83234
    • 提供者:乐天猫
  1. C-Code-Development-Tits(all)

    0下载:
  2. 聪明的程序员用delphi,而真正的程序员用c!但在c的学习过程中,由于其指针的高度自由化和灵活度,使得学习起来困难重重,然而学习c也是有章可循的,c语言程序开发范例宝典全代码,系统的展示了c如何由初级学习到高级进阶的全过程,所有的代码均有详细的解释,同时解决了c程序员在开发过程中的各个疑难杂症,可谓不可多得的撼世之作。-Smart programmers use delphi, but the real programmer uses c! however, at the c of the l
  3. 所属分类:source in ebook

    • 发布日期:2017-04-02
    • 文件大小:203661
    • 提供者:michael
  1. keyscan_test

    0下载:
  2. 针对机械式按键存在的抖动问题,用verilog HDL编写了一个采用防抖方案并对按键次数计数的模块,已经在ISE综合通过!-Keys exist for mechanical jitter, with verilog HDL prepared a program with anti-shake button and count the number of modules have been integrated by ISE!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:tyut
  1. keydebounce

    0下载:
  2. 按键消抖,基于BLOCK输入,可以达到很好的消除抖动的效果-Key consumer shake, based on BLOCK input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:187493
    • 提供者:lixianhui
  1. ScreenSavePrograme

    0下载:
  2. VC++自制全屏的屏幕保护模块,相关的技术要点关键点:吸引子灵敏度、最大颜色数、复数模的平方等,代码中包括发散速度不同用不同的颜色来绘制的方法。本程序运行后即可全屏,不要晃动鼠标,否则看不到程序窗口。-VC++ home-made full-screen screen saver module, the related techniques of key points: attractor sensitivity, the maximum number of colors, complex mo
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:27629
    • 提供者:zs21
  1. 123

    0下载:
  2. 基于VHDL的防抖型矩阵式键盘设计.pdf-VHDL-based anti-shake type of keyboard design matrix. Pdf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:362180
    • 提供者:kenshin
  1. keycounter

    0下载:
  2. 按键次数统计(在P1.0用短路线替代按键,利用定时中断延时防抖)-Key counter(with a short line in the P1.0 replacement keys, in use of time interrupt to prevent Shake Reduction)
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1695
    • 提供者:林檎
  1. 10DigitalFilter

    0下载:
  2. 10种软件滤波方法的示例程序 1、限副滤波 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法-Software filter of 10 sample program 1, limit the Deputy filter 2, the median filtering meth
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3226
    • 提供者:viet
  1. shake

    0下载:
  2. 通过识别LED状态,并修改LED状态来实现手机调用震动。震动时间可调整。-LED status by identifying and modifying the LED status to achieve phone call shock. Adjustable vibration time.
  3. 所属分类:Windows Mobile

    • 发布日期:2017-04-08
    • 文件大小:1129
    • 提供者:吴朱佳
  1. P6_with_submodule

    0下载:
  2. 目前网上有很多消抖的代码,但本代码将消抖的程序做成了一个子模块,方便调用-Currently there are many online consumers shake the code, but the code will eliminate the procedure shake made a sub-module for easy call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:342902
    • 提供者:张力
  1. key_xiaodou

    0下载:
  2. 本例中用状态机实现了消抖电路: 端口描述:clk 输入检测时钟;reset 复位信号;din 原始按键信号输入; dout 去抖动输出信号。-In this case the state machine used to achieve the elimination shake circuit: Ports Descr iption: clk input test clock reset reset signal din original key signal input dout t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:834
    • 提供者:hughxue
  1. dianzizhong

    0下载:
  2. 该代码是用VHDL编写的电子时钟,可以实现调时调分,7段码显示,在Xilinx的Spartan3E上下载测试过,压缩文件中包含了整个工程,并有管脚分配文件,非常适合VHDL的初学者,比如一些基本的按键,去抖,闪烁写法。-The VHDL code is written using the electronic clock adjustment can be achieved when the transfer points, 7 code shown to download the Xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529211
    • 提供者:wangyu
  1. xiaodou2

    0下载:
  2. 基于脉冲边缘检测的按键消抖模块verilog-Key consumer shake module verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2265429
    • 提供者:郭超
« 1 2 3 4 5 67 8 9 10 11 ... 20 »
搜珍网 www.dssz.com