CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sigma-delta

搜索资源列表

  1. slaa206

    0下载:
  2. ADS1100 16-bit sigma-delta differential ADC 与 MSP430F413接口设计。-ADS1100 analog 16-bit sigma-delta ADC with differential MSP430F413 interface design.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11682
    • 提供者:刘建翔
  1. sigma_delta

    0下载:
  2. filter design sigma delta filter-filter design sigma delta filter
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:51471
    • 提供者:岳颀
  1. coherencefilter

    0下载:
  2. Example: A = double(imread( fingerprint.png ))/255 B = coherencefilter(A,4, sigma ,1.5, rho ,5) image(min(max(B,0),1)) axis image [1] Weickert, J. 1996. Anisotropic Diffusion in Image Processing. Ph.D. Thesis, Dept. of Mathemati
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:78765
    • 提供者:dkm
  1. 1-408

    0下载:
  2. Conversion latency in delta-sigma converters
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:195361
    • 提供者:geo
  1. activeContoursSnakesDemo

    0下载:
  2. 本演示实现了主动轮廓模型由Kass等人提出。 要运行它的图形用户界面 1。基于MATLAB型引导提示。 2。关于“到现有的图形用户界面,点击” 3。选择在与本文件相同的目录snk.fig文件 4。按一下上方的绿色箭头启动图形界面 一旦GUI已经启动,您可以通过使用蛇 1。点击“新形象”,并加载输入图像。提供的样品图像。 2。平滑参数设置“西格玛”或保留其默认值并点击“过滤器”。这将平滑图像。 3。只要你点击“过滤器”,头发会出现交叉
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:334204
    • 提供者:zhou
  1. simulateSNR

    0下载:
  2. Determine the SNR for a delta-sigma modulator by using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev).
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1987
    • 提供者:hamdi
  1. sigmas

    0下载:
  2. 用MATLAB进行Sigma 采样,用于数值计算或者数值滤波-Sigma sampling conducted with MATLAB for numerical calculations or numerical filtering
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1121
    • 提供者:Michael
  1. BandpassSignalGen

    0下载:
  2. generation of wideband high dynamic range analog signal for area-efficient MADBIST, especially for the on-chip testing of wireless communication IF digitizing sigma–delta modulator chip. Via increasing the order of the one-bit bandpass sigma–delta m
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:5535
    • 提供者:Nupur Naik
  1. delta-sigma

    0下载:
  2. 关于delta-sigma调制器的详细教程
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267718
    • 提供者:dsahd
  1. 0070509379

    0下载:
  2. this archive is a document that us allows make a simulation of modulator sigma delta
  3. 所属分类:matlab

    • 发布日期:2017-06-15
    • 文件大小:23466568
    • 提供者:tome
  1. sigmadelta

    0下载:
  2. sigma delta modulator
  3. 所属分类:matlab

    • 发布日期:2017-05-01
    • 文件大小:571999
    • 提供者:Ab Ba
  1. dac

    0下载:
  2. Delta sigma DAC for use in FPGA includes Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1262
    • 提供者:srt
  1. decimator

    0下载:
  2. Digital filter in delta-sigma ADC. But only work for RTL code now. Still have bugs in gate-level simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1067
    • 提供者:DrCheese
  1. Delta-Modulation

    1下载:
  2. Code in Matlab for Sigma-Delta Modulation, feel free to ask at adhamessamm@gmail.com
  3. 所属分类:matlab

    • 发布日期:2017-11-24
    • 文件大小:2213
    • 提供者:ModyKing
  1. pll_sigma-delta

    1下载:
  2. 这是用simulink仿真的一个小数分频锁相环(fraction_N PLL),使用了sigma-delta modulator和8/9预分频,这只是其中一部分,如果再想实现细节,还有待更深入,可以联系我qq790290115-fraction_N PLL using simulink(2013a),it includes sigma-delta modulator and 8/9 prescaler
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:18562
    • 提供者:donguuuu
  1. dsm-sigma-delta

    0下载:
  2. Delta-sigma modulator based A/D conversion without oversamplingDelta-sigma modulator based A/D conversion without oversampling-Delta-sigma modulator based A/D conversion without oversamplingDelta-sigma modulator based A/D conversion
  3. 所属分类:IT Hero

    • 发布日期:2017-05-04
    • 文件大小:78337
    • 提供者:hassan
  1. Sigma_Delta_ADD

    0下载:
  2. Sigma Delta Adder and Corrector, implemented using matlab
  3. 所属分类:matlab例程

    • 发布日期:2018-01-05
    • 文件大小:483328
    • 提供者:amounika
  1. filter loop

    0下载:
  2. sigma-deltaADC的环路滤波器设计FPGA实现(realise sigma-delta ADC and it filter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:4096
    • 提供者:wyl111
  1. sd_calsnr

    1下载:
  2. sigma delta ADC的模型,以及计算sigma delta调制器的SNR(The model of sigma delta ADC,and how to calculating the SNR of the sigma delta ADC)
  3. 所属分类:数学计算

    • 发布日期:2018-05-03
    • 文件大小:59392
    • 提供者:小明与小明
  1. delta-sigma

    4下载:
  2. 实现了MASH111功能,输入位数可编程(MASH 1-1-1, delta-sigma , input bits are programmable)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-21
    • 文件大小:1511424
    • 提供者:alieng
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com