CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - simulation and software

搜索资源列表

  1. ff

    0下载:
  2. 自己学习时编的关于用单片机实现单片机之间双向通信keil c源代码程序以及用Pretus7软件进行仿真,希望对大家有帮助!共同学习!-Compiled their own learning on the use of two-way communication between the MCU MCU keil c program source code and software used Pretus7 simulation, we want to help! Learning together
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:32393
    • 提供者:budongbing
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. PROTEUS

    0下载:
  2. EWB是一种常用电子仿真软件,也称电子仿真实验室.作为电路仿真分析.设计的软件.它具有界面直观.操作方便.可创建电路。 需要调用的元器件.测试仪器以图形方式表示,并直接从窗口图形中调出,测试仪器的图形与实物相似,可以存储实验测试结果的数据.波形.元器件清单.工作状态等,并可打印输出.具有齐全丰富和可扩充的元器件库,使用虚拟测试仪器对电路进行仿真实验如同置身于实验室使用真实仪器测试电路一样。 -EWB is a common electronic simulation software,
  3. 所属分类:Document

    • 发布日期:2017-04-01
    • 文件大小:378025
    • 提供者:
  1. matlabsimulink-Control-and-Simulation

    0下载:
  2. 有关matlab simulink控制与仿真的很好的教程,可轻松教导你学会用该软件仿真控制系统-The matlab simulink control and simulation of a good tutorial to teach you easily learn to use the software control system simulation
  3. 所属分类:matlab

    • 发布日期:2017-05-24
    • 文件大小:7709965
    • 提供者:刘杰
  1. 4PSK

    0下载:
  2. 基于Matlab模拟实现,是基于Matlab的仿真,对软件的要求很高,要求用Matlab实现对信号的调制解调和对信道的模拟。-Matlab-based simulation implementation is based on the Matlab simulation, the software requirements, demanding to achieve with Matlab signal modulation and demodulation and analog channel
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:429770
    • 提供者:刘小
  1. MATLAB_SIMULINK_QDPSK

    0下载:
  2. 摘 要: 利用MATLAB平台的SIMULINK功能编写了一套QDPSK通用功能模块库,并在此基础上进行了多项可视化仿真,较 好地显示了数字通信的工作方式和优越性,以及采用SIMULINK进行仿真的良好的演示效果,为QDPSK数字通信系统的研究提 供了一个较好的软件平台。-Abstract: This paper gives a group of module library for digital communication function applying MATLAB’ s
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:141224
    • 提供者:zhangchenglin
  1. QDPSK

    0下载:
  2. 基于system visw软件的dpsk系统的仿真与设计的波形图及系统搭建图-Software-based system visw dpsk system simulation and design and system structure diagram waveform
  3. 所属分类:Software Testing

    • 发布日期:2017-03-31
    • 文件大小:230402
    • 提供者:yetao
  1. longwen

    0下载:
  2. 本文先介绍了整个系统的硬件电路设计,而后用VHDL硬件描述语言完成了系统控制程序的编写,并对系统进行了程序仿真及调试验证。本文特别详细的讨论了系统程序的编写,因为他关系到整个系统的功能实现,也是本设计的重点所在。设计中选用Protel 99se作为电路图编辑软件,选用Max+plusⅡ作为仿真环境。-This article first describes the overall system hardware design, then use the VHDL hardware descr
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:750734
    • 提供者:晨曦
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. Dsp-fixed

    0下载:
  2. 本书全面系统地介绍了DSP 芯片的基本原理、开发和应用。首先,介绍了目前广泛使用的DSP 芯片的基本结构和特 征,定点和浮点DSP 处理中的一些关键问题。然后,对用C 语言和MATLAB 语言进行 DSP 算法的模拟进行了介绍。接着,以目前应用最广的TI DSP 芯片为例,介绍了定点和 浮点DSP 芯片的软硬件设计方法,DSP 芯片的C 语言和汇编语言的开发方法以及DSP 芯 片的开发工具及使用,并以三个应用系统的设计为例,介绍了定点和浮点DSP 芯片的开 发过程。最后,
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:476122
    • 提供者:lin
  1. TDvedynausermanual

    0下载:
  2. ve-DYNA® 为用户提供了车辆动力学、车辆非线性行为的可配置仿真模型。用户根据自己的工程问题选择合适的车型(轿车,货车,拖车)和适当的版本(低级,标准,高级)就能实现不同的应用。用户基于模型就能开发自己的控制算法或者部件,然后通过离线仿真和硬件在回路仿真来进行检验和验证。只需要进行鼠标键盘的操作,就可以对种种的动力学问题进行分析,比如悬架动力学,车辆动力性或操纵稳定性。这样 就 能够减少昂贵而且费时甚至是危险的实车试验。可以在无人监控的情况下完成整个的测试、优化和系统验证 。本文为v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4251990
    • 提供者:dd
  1. modelsim

    0下载:
  2. Modelsim仿真软件的使用比较复杂,本教程中详细说明了该软件的安装注意事项、前仿真后仿真的相关用法以及相关问题的解决方法-Modelsim simulation software is more complex to use, this tutorial explains in detail the installation of the software note, the former related to use of simulation and post-simulation so
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:405270
    • 提供者:王玉强
  1. hades-models-mips-src

    0下载:
  2. Hamburg Design System: MIPS models both a tool for teaching basic digital systems design, and for research on system-simulation and hardware/software-cosimulation.
  3. 所属分类:Java Develop

  1. chuzuchejijiaqi

    0下载:
  2. 出租车计价器设计,包括仿真环境介绍,实验方案,系统工作原理,硬件设计以及软件流程-Taximeter design, including simulation environment introduced experimental program, the system works, the hardware design and software process
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:177812
    • 提供者:胡波
  1. comparison_of_software_receiver(GPSandGalileo)

    0下载:
  2. 《GPS和Galileo软件接收机理论仿真与比较》比较了两者设计上的异同,希望对于做GPS和Galileo的人有帮助。-" GPS and Galileo Software Receiver theoretical simulation and comparison," comparing the similarities and differences between the design and hope for the people who make GPS and Ga
  3. 所属分类:GPS develop

    • 发布日期:2017-04-10
    • 文件大小:1360276
    • 提供者:李君
  1. FPGA

    0下载:
  2. 本文采用FPGA来模拟实际的乒乓球游戏。本设计是基于Altera 公司的FPGA Cyclone II 芯片EP2C35 的基础上实现,运用Verilog HDL 语言编程,Quartus II 软件上进行编译、仿真,最终在Altera 公司的DE2 开发板上成功实现下载和调试-In this paper, FPGA to simulate the actual tennis game. The design is based on Altera' s FPGA Cyclone II EP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183597
    • 提供者:李丽
  1. Proteus

    0下载:
  2. 嵌入式系统设计仿真与开发平台研讨会专刊 Proteus VSM 是一个完整的嵌入式系统软、硬件设计仿真平台,它包括原理布图系 统ISIS、带扩展的Prospice 混合模型仿真器、动态器件库、高级图形分析模块和处理器 虚拟系统仿真模型VSM-Design, simulation and embedded system development platform, Proteus VSM workshop special issue is a complete embedded syst
  3. 所属分类:SCM

    • 发布日期:2017-05-29
    • 文件大小:11457223
    • 提供者:enjio
  1. OPNET-Modeler-and-Ns-2

    0下载:
  2. 国外关于网络仿真软件OPNET与NS2比较的文章-Abroad on the network simulation software OPNET and NS2 article comparing
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:182840
    • 提供者:朱子行
  1. Photovoltaic-Inverter-BASED-2812dsp

    2下载:
  2. 针对光伏并网发电系统中关健部件—逆变器的结构设计与控制方法研究进行了详细分析 和阐述。从电网、光伏阵列以及用户对逆变器的要求出发, 分析了各种不同的逆变器拓扑结构与控 制方法, 比较其运行效率和控制效果。对于本文提出了一种基于全桥变换器的正弦波逆变电源的整体设计 方案。文章首先对系统设计中将使用到的DC/DC变换器,DC/AC变 换器以及PWM控制技术在理论上进行了深入的研究。并讨论了数字 式PID控制算法的实现和在系统中的应用,还针对这种基于全桥变换 器的正
  3. 所属分类:DSP编程

    • 发布日期:2014-01-10
    • 文件大小:5882
    • 提供者:fmx
  1. AS3991Reader

    0下载:
  2. 本文结合超高频RFID读写器的发展趋势,提出了一种基于EPC Gen2标准的 915MHz超高频RFID读写器设计,并完成了系统测试。涉及的主要工作有: 1.分析了EPC Gen2标准的技术特点和各项指标,研究了UHF RFID读写器的 系统原理和基本结构,详细探讨了整个系统通信链路的传播特点。 2.分析了读写器射频电路。MCU采用单片机C8051F340,射频芯片采用奥地利 微电子的高集成度UHF RFID读写芯片AS3991。完成了硬件电路的搭建和测 试。 3.设
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4340827
    • 提供者:HY jian
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com