CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - taxi meter

搜索资源列表

  1. Text1

    0下载:
  2. 实现出租车计价器的功能 价格按照北京计价器-To achieve the functions of a taxi meter price of the meter in accordance with Beijing
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:3476
    • 提供者:半堂
  1. Taxi

    0下载:
  2. 摘要 本电路以P89C58 单片机为中心、采用A44E 霍尔传感器测距,实现对出租车里程统计,并进行计价。采用看门狗电路MAX813L实现上电复位、手动复位和监视电压功能,时钟芯片DS1302在系统掉电的时保存单价、里程、车轮长度等信息和显示时间,人机界面采用液晶显示,为减小功耗可将液晶屏背光灯设为手动可调。并采用炜煌A6热敏打印机打印基本信息。本电路设计的计价器不但能实现计价功能,而且还能根据白天、黑夜自动调整计价模式,中途等待处理以及为避免一些作弊行为设计的防作弊功能。经检测本设计基本实
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:62522
    • 提供者:xing
  1. VHDL

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目 -In this system, VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development platform to design a taxi meter syst
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:178228
    • 提供者:xing
  1. czc

    0下载:
  2. 以AT89C52 单片机为中心附加A44E 霍尔传感器测距,实现对出租车计价统计,输出采用8 段数码显示管。其中,总金额显示4位,单价及路程个显示两位。该系统满足集计费、单价和路程等多种计量功能为一体的出租车计价器的实用要求。-AT89C52 microcontroller with additional A44E Hall sensor as the center distance, to realize the taximeter statistics, output in 8-segmen
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:156073
    • 提供者:nextone
  1. process-simulation

    0下载:
  2. 20多个FPGA设计实例(程序+仿真图),包含LED控制,LCD控制,出租车计价器VHDL程序与仿真,波形发生程序,步进电机定位控制系统VHDL程序与仿真等等,VHDL语言编译。-More than 20 instances of FPGA design (process+ simulation map), contains the LED control, LCD control, taxi meter VHDL procedures and simulation, waveform proc
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:1281787
    • 提供者:candice
  1. dip

    0下载:
  2. 计时器与出租车计价器源代码,编写语言为VHDL-Timer with the taxi meter source code, written language VHDL
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:39670
    • 提供者:金鑫
  1. Taxi_meter

    0下载:
  2. 设计一个满足日常生活所需功能的出租车计费器,实现计费功能。-Designed to meet the daily needs of a taxi meter function and achieve billing functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:337358
    • 提供者:刘智虎
  1. what

    0下载:
  2. 出租车计费器设计(51) 原代码下载 51单片机设计-Taxi meter design (51) of the original 51 single-chip design code download
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:162468
    • 提供者:what
  1. Taximeter1

    0下载:
  2. 基于数字电路的出租车计价器的设计。包含电路图,论文。-Digital circuits based on the design of taxi meter. Includes schematics, paper.
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:624157
    • 提供者:罗嫣然
  1. dianzixianlu

    0下载:
  2. 出租车计费器Verilog程序,比较简单的计费功能。-Taxi meter Verilog program, simple billing functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3453797
    • 提供者:李明
  1. TaxiMeterProgramToAchieveFunctional

    0下载:
  2. 出租车计费器程序,能实现功能。包含存储各种价格,里程计算,各种模式的切换。程序流程图思路清晰。-Taxi meter program to achieve functional. Includes storage of various price, mileage calculation, the various modes of switching. Flowchart of clear thinking.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:448161
    • 提供者:阿斌
  1. chuzuchejijiaqi

    0下载:
  2. 出租车计价器,可以计算路程,显示金额,路程,等待时间-Taxi meter can calculate the distance to show the amount of distance, wait time
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2816
    • 提供者:侯伟玉
  1. 51_Taximeter_based_procedures

    0下载:
  2. 基于51的出租车计价器,进模拟已经实现其功能,欢迎检验。-Taxi meter on 51, into the simulation has to achieve its function, please test.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1336
    • 提供者:caoxiaodong
  1. 27fpgashili

    0下载:
  2. 基于FPGA的27哥实例代码——包括自动售货机,出租车计价器等的源程序代码-27 Columbia-based FPGA example code- including vending machines, taxi meter, etc. of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1399507
    • 提供者:hongfmao
  1. taxi

    1下载:
  2. 出租车自动计费器,使用verilog hdl语言编写,计费包括起步费、里程费、等待费,并利用八位数码管显示。-Automatic meter taxi, using verilog hdl language, including start charging fees, mileage fees, waiting costs, and use eight digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7947507
    • 提供者:金若梅
  1. 354545

    1下载:
  2. 用VHDL实现出租车计价器,此程序已通过验证-VHDL implementation with a taxi meter, this procedure has been verified. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3192298
    • 提供者:宋茜
  1. taxi4

    0下载:
  2. 本程序是天华杯模拟题中出租车计价器源程序,由本人编写,经测试基本满足要求-This procedure is the day China Cup title in the taxi meter analog source, which I am prepared to meet the basic requirements have been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:52174
    • 提供者:左伟明
  1. 22222

    0下载:
  2. 出租车计费器 可以记录汽车行程从而算出所需要的路费-Taxi meter can record car trips to work out the required toll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:793038
    • 提供者:琳琳
  1. Taximeter_VHDL_program

    0下载:
  2. 出租车计价器VHDL程序,功能:出租车计价器 ,最后修改日期:2004.4.9-Taximeter VHDL procedure, function: the taxi meter, the last modified date: 2004.4.9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:28579
    • 提供者:卫亮
  1. zhuaizhuaier

    0下载:
  2. 注:设计中需要使用到的外部输入信号自己定义,如时钟频率,复位有效电平,数码管类型等,但必须具体说明。 1:出租车计价器(50分) 实现以下基本功能: (1)3公里内只起步价10元,3~8公里每公里2.0 元,8公里以上每公里3.0元; (10分) (2)车速小于2公里/小时为等待时间,总计等待时间累计5分钟以下不计费,超过5分钟部分每分钟1.0元,不足1分钟部分按照1分钟计; (13分) -Note: The design need to use their own def
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:584205
    • 提供者:zhuaizhuaier
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com