CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - testbench

搜索资源列表

  1. get_e_de

    0下载:
  2. 模糊控制器 误差生成模块.V文件及testbench文件-Fuzzy controller error generation module .V file and testbench files
  3. 所属分类:MPI

    • 发布日期:2017-04-29
    • 文件大小:36000
    • 提供者:zjl
  1. Modelsim_Steps_-to_-run_-testbench

    0下载:
  2. Writing test bench in using VHDL.
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:1121379
    • 提供者:Shailendra
  1. TEXIO

    0下载:
  2. TEXIO study testbench passed VHDL FPGA CPLD simulation Altera quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:53739
    • 提供者:寒雪亮
  1. Testbench_SR_SerIn

    0下载:
  2. Testbench for Shift Register, Serial in Parallel out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6710
    • 提供者:Huy
  1. tb

    0下载:
  2. fft128_64的testbench文件,用于测试fft的正确性。已验证其正确性,喜欢的就拿走啦-Fft128_64 testbench files, used for testing the correctness of the FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:13074
    • 提供者:KID
  1. DFF12

    0下载:
  2. 简单modelsim testbench测试工程,包含源码和testbench文件-Modelsim testbench simple test project, including source code and testbench files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:56012
    • 提供者:董扬
  1. testbench_top_level.vhd

    0下载:
  2. testbench for top level, vhdl, audio synthesizer, top level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1078
    • 提供者:aabdelwa
  1. QAM_verilog

    0下载:
  2. 基于FPGA的16QAM,用verilog编写,其中DDS为自己编写,含设计文件和testbench。已通过moldesim软件仿真。 -FPGA-based 16QAM, with verilog writing, including DDS for their preparation, including design files and testbench. Simulation software has been through moldesim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3699
    • 提供者:饶黎
  1. testbench

    0下载:
  2. 这是基于xilinx ise软件中pci核的仿真程序。文件包括激励程序,顶层程序。可以用于modelsim仿真-This is based on xilinx ise software pci core simulation program. Files include incentive program, the top program. It can be used to simulate modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:14414
    • 提供者:liangye
  1. MyFFT

    0下载:
  2. 该程序可实现基于IP核的FFT算法,TESTBENCH用TEXTIO输入输出数据-The program can achieve FFT algorithm based on IP core, TESTBENCH based on TEXTIO input and output data
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3076020
    • 提供者:于子轩
  1. CAVLE-h264

    0下载:
  2. 本压缩文件包含了h.264压缩算法中的CAVLE的编解码模块(Verilog和VHDL两个版本),包含有仿真的testbench测试文件,综合后可以直接使用-The compressed file contains the h.264 compression algorithm CAVLE codec module (Verilog and VHDL both versions), including a simulation testbench test file, can be used d
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-03
    • 文件大小:604468
    • 提供者:zhanglong
  1. DIVIDER

    0下载:
  2. 大家好,我是复旦大学的研究生。本资源是一个基于VHDL语言的M位除以N位的除法器。其中M/N ,商M位,余数是N位的。以Moim设计验证和验证。压缩包里有除法器的源文件和testbench。可加入工程,直接测试。鄙人测试都是无错误的。愿尊驾下载后,积极评价,以便于相互交流,学习。O(∩_∩)O谢谢.2015年5月7日于芬兰,图尔库。-Hello everyone, I am a graduate student at Fudan University. This resource is base
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1892
    • 提供者:ljt
  1. pud_ben

    0下载:
  2. Verilog HDL source code of generating a ROM file (in Quartuss) and testbench in Modelsim (verification)
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5623
    • 提供者:Ben
  1. QPSK

    5下载:
  2. 这是关于QPSK调制解调的VerilogHDL语言的代码,还有用Modelsim仿真的工程文件。testbench都已经写好了。-This is the QPSK modulation and demodulation of VerilogHDL language code, as well as with Modelsim simulation project file. testbench have been written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:75269
    • 提供者:hbnbmiu
  1. verilog_code_for_double_fpu

    0下载:
  2. 64位FPU,内含testbench,已经通过验证仿真。-64-bit FPU, embedded testbench, simulation has been validated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:117289
    • 提供者:张邈
  1. iic

    0下载:
  2. i2c接口的功能实现代码,用VERILOG编写,并附有testbench.-i2c interface function implementation code, written in VERILOG, along with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:534275
    • 提供者:jianglei
  1. sv_lab_switch

    0下载:
  2. system verilog ASIC 验证平台编写详细实例-system verilog testbench for ASIC
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-29
    • 文件大小:11204
    • 提供者:mayunli
  1. VHDL_Multiplier

    1下载:
  2. 三种 VHDL 实现乘法器的方法,可以用于学习FPGA的时序、组合电路,同时附带了 TestBench 程序-Three kinds of methods to achieve multiplier in VHDL, with TestBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5087
    • 提供者:李成
  1. System-verilog-Overview

    0下载:
  2. Verilog overwied. it has writing verilog testbench guidlines
  3. 所属分类:Development Research

    • 发布日期:2017-04-28
    • 文件大小:181748
    • 提供者:asad
  1. sdram_5

    0下载:
  2. SDRAM的verilog描述,包含顶层设计,测试平台代码,精确描述-SDRAM is verilog descr iption, including top-level design, testbench code, an accurate descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6160
    • 提供者:micheal zhang
« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 39 »
搜珍网 www.dssz.com