CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - trafficlight

搜索资源列表

  1. Ver_prog

    0下载:
  2. Verilog programs for trafficlight controller, dicegame, mealy,moore machines and universal shift register
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:44902
    • 提供者:Geetha Madhuri
  1. trafficlight

    0下载:
  2. AT89S52实现交通灯控制,P0接交通灯,P2接两位数码管。适用与大学生课余时间设计玩玩。-AT89S52 realize traffic light control, P0 then traffic lights, P2 then two digital tube. Students design and apply their spare time to play.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-04
    • 文件大小:29700
    • 提供者:高神明
  1. trafficlight

    0下载:
  2. 南北四路交通灯,,,红灯25秒绿灯20秒黄灯5秒(North South four road traffic lights)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:6055936
    • 提供者:云白三
  1. trafficlight

    0下载:
  2. 将rgb转换成hsv图像,识别红灯,并在原图中表示出来(Converts RGB to HSV images and identifies red lights)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:235520
    • 提供者:gurujoyce
  1. Fuzzy-Traffic-Light-Controller-master

    0下载:
  2. trafficlight fuzzy controller with matlab
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:13312
    • 提供者:yousefizadeh
« 1 2 ... 4 5 6 7 8 9»
搜珍网 www.dssz.com