CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - triangular wave

搜索资源列表

  1. Trianglewavesignalgenerator

    0下载:
  2. 三角波信号发生器论文,1信号峰值在0~5V之间可调,分辨率为0.5V。 2按键可选择峰值和频率。 3显示器可显示峰值和频率。 4设计电源电路,信号发生器电源采用AC220V 5写出程序流程图及汇编程序。 -Triangular wave signal generator paper, a signal peak in adjustable between 0 ~ 5V, a resolution of 0.5V. 2 button to select the peak and
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:92142
    • 提供者:伊谧
  1. boxingfasheng

    0下载:
  2. 三角波、正弦波、余弦波、方波的产生VHDL代码程序,可以根据自己的需要得到相应的波形。-Triangular wave, sine wave, cosine wave, square wave generated VHDL code program, according to their own needs the corresponding waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:467734
    • 提供者:yangxiao
  1. bxfsq

    0下载:
  2. 产生正弦波+三角波,,很好的波形发生代码-Triangular wave generated sine wave+,, very good code waveform
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:45328
    • 提供者:得到
  1. DAC

    0下载:
  2. 8051单片机结合DA芯片进行数模转换的程序代码,包括三角波、方波、锯齿波的输出-8051 chip with digital-analog converter DA program code, including the triangular wave, square wave, sawtooth wave output
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:158877
    • 提供者:duruiming
  1. DAC1_fgen1

    0下载:
  2. With regard to the internal D/A converter of the microcontroller, which use their own D/A converters have a signal needs to be the most economical method. Cygnal Inc. C8051F020 is a powerful up-to-date with the internal D/A converters of the single-c
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:5749
    • 提供者:elaine
  1. DAC0832_control

    0下载:
  2. 用verilog HDL编程实现的基于DAC0832的三角波信号,可借鉴编程实现DAC0832芯片控制-Programming with verilog HDL DAC0832-based triangular wave signal, we may learn programming DAC0832 chip control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:637
    • 提供者:hemy
  1. sanjiaobofashengqi

    0下载:
  2. 一个很好的三角波发生器的源代码,大家可以参考一下,有什么需要可以联系我-A good triangular wave generator source code, we can refer to, what needs to contact me
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2424
    • 提供者:小勇
  1. dbd

    0下载:
  2. 这是在单片机中用keil与proteus所作的示波器三角波形状,程序在proteus中编写,仿真时查看示波器的状态。-This is a single chip by using keil and proteus oscilloscope triangular wave shape, write the program proteus, simulation time view the status of the oscilloscope.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:26569
    • 提供者:朱艾丽
  1. trigle

    0下载:
  2. 简易的三角波发生器代码,可以产生正确的三角波,MATLAB新建M-FILE,然后RUN即可-Simple triangular wave generator code, you can generate the correct triangle wave, MATLAB new M-FILE, then RUN to
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:167406
    • 提供者:徐斐
  1. ^^

    0下载:
  2. 根据输入的点数,周期,电压产生正弦波,方波和三角波的程序,可在示波器上输出-According to the input points, cycle, voltage is generated sine wave, square wave and triangular wave process can be output on an oscilloscope
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:765
    • 提供者:dgftd
  1. pwm

    0下载:
  2. 通过分频产生信号,与三角波比较产生pwm-By dividing the clock signal is generated, compared with the triangular wave generated pwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1192996
    • 提供者:董会云
  1. test

    0下载:
  2. 利用verilog 寫 三角波的產生 利用verilog 寫 三角波的產生-Written using the triangular wave generated verilog verilog to write using the triangular wave generated by the triangular wave generation write verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:22194
    • 提供者:zih siang
  1. dds3

    0下载:
  2. 可产生三角波,正弦波,方波并且频率可调节的函数信号发生器-Can generate triangular wave sine wave square wave and the frequency can be adjusted to function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1859467
    • 提供者:
  1. wave

    4下载:
  2. matlab三角级数法合成人工地震波算例-matlab triangular series synthesis examples of artificial seismic waves
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:1785
    • 提供者:刘权威
  1. profile-generator

    0下载:
  2. 产生方波-三角波-正弦波的函数发生器.此波形发生器主要由五个模块组成,分别为方波发生模块,三角波产生模块,正弦波产生模块,信号选择模块,信号幅度放大模块以及信号偏移模块。方波发生器主要由555定时器构成的斯密特电路产生,并且幅度可调。三角波则由方波经过积分就能得到,积分电路主要由 A741运算放大器构成。-This curriculum project is the request makes one to be able to produce the square-wave- triangul
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:945498
    • 提供者:陈志婷
  1. signal_generator

    0下载:
  2. signal Generator: it can generate square, sine, saw-tooth, triangular wave forms on spartan 3an board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:743333
    • 提供者:Muhamed
  1. AD9854

    0下载:
  2. AD9854 产生三角波 调试中 希望有高手指点下-AD9854 triangular wave generated debugging hope the expert guidance
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2091
    • 提供者:厉乐乐
  1. sanjiaobo

    0下载:
  2. 基于单片机的三角波发生器,产生波形精确度比较高。-Microcontroller-based triangular wave generator to produce high precision waveform.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2421
    • 提供者:陈宇良
  1. sanjiao

    0下载:
  2. 已经是成品了,是三角波测量仪,可以测任意波形频率和幅值,占空比,并自带三角波发生器可以调幅度 0~100占空比 发生频率步进可调,绝对高精度, 里面还有报告-Is already finished, and is a triangle wave measuring instrument can measure the frequency and amplitude of any waveform, duty cycle, and comes with triangular wave genera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7484912
    • 提供者:冯德就
  1. dsp

    0下载:
  2. 应用快速傅里叶变换对信号进行频谱分析,其中包括高斯序列、正弦序列、衰减正弦序列、三角波序列、反三角序列。绘出其时域,频域波形。有详细的标注!-Application of fast Fourier transform spectral analysis of signals, including the Gaussian sequence, sine series, sine decay sequence, triangular wave sequence, the anti-triangula
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:2686
    • 提供者:yuke
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 17 »
搜珍网 www.dssz.com