CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ue

搜索资源列表

  1. downlinkChannelModel.txt-

    0下载:
  2. 信道模型,下行链路。 Represents the downlink channel model that a specific user possesses. Each UE instance will have its own specific channel model.-chanel model ,downlink。 Represents the downlink channel model that a specific user possesses. Each UE i
  3. 所属分类:3G develop

    • 发布日期:2017-04-03
    • 文件大小:225652
    • 提供者:陆飞
  1. dotm1

    0下载:
  2. 蜂窝系统中分布蜂窝用户,蜂窝小区500米,随机分布的用户。-UE distribute
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:762
    • 提供者:chenqiang
  1. 200611

    0下载:
  2. fgtm hrurx yeyu eur4ui eu5e5 ue
  3. 所属分类:Project Design

    • 发布日期:2017-11-21
    • 文件大小:1179972
    • 提供者:Suphaseni S B
  1. gestion-handover-LTE-sim

    0下载:
  2. implémenter une procédure de Handover par le biais du simulateur LTE System Level sous Matlab.On a implémenté notre algorithme de décision de Handover dans la classe UE.m, c’est la classe qui définit le mobile dans le réseau LTE, nous y avons donc
  3. 所属分类:3G develop

    • 发布日期:2017-11-12
    • 文件大小:13936
    • 提供者:mialy
  1. LTE_DRX_psf_2_100_2_sf40_0

    0下载:
  2. DRX feature is used by LTE UE models to increase battery life by listening discontinuously on RF card.
  3. 所属分类:3G develop

    • 发布日期:2017-04-06
    • 文件大小:178046
    • 提供者:srikanth
  1. lte_ue_rf_measurements_v122

    1下载:
  2. LTE UE RF Measurement
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:2042852
    • 提供者:sosa247
  1. uerx

    0下载:
  2. randomly distribute Ue in Macro eNB
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1388
    • 提供者:aopen711
  1. Java-Servlet

    0下载:
  2. UE模拟界面,主要用于J2EE新手。希望对你有帮助-UE Interface
  3. 所属分类:Jsp/Servlet

    • 发布日期:2017-05-21
    • 文件大小:5988530
    • 提供者:yianzhi
  1. FileRename

    0下载:
  2. 自己写的一个批量修改某文件夹下所有匹配上的文件名,修改操作类似与UE或者其他地方的ctrl+f的功能,由于实现了主要功能,所以就没有再写过多的前台展示(没有用到swing,源码Eclipse控制台操作,封好的jar请在黑窗口运行)。开源无罪!为了积分!-To write a batch modify all the files in a folder on the names match, similar to the UE or modify operations elsewhere ctrl
  3. 所属分类:Java Develop

    • 发布日期:2017-04-07
    • 文件大小:375066
    • 提供者:shiyongqin
  1. oracle

    0下载:
  2. oracle的学习资料,自己看视频整理的,有简单的例子。内容很精辟,大概1-2天就能学完。最好用ue打开文件-oracle
  3. 所属分类:Java Develop

    • 发布日期:2017-04-17
    • 文件大小:29057
    • 提供者:followsun
  1. MiniUEditorDotNet_v1.0

    0下载:
  2. UEditorMINI,简称UM,是为满足广大门户网站对于简单发帖框,或者回复框需求所定制的在线富文本编辑器。UM的主要特点就是容量和加载速度上的改变,全版本的代码量为125k,而且放弃了使用传统的ifr a me模式,采用了div的加载方式,以达到更快的加载速度和零加载失败率。现在UM的第一个使用者是百度贴吧,贴吧每天几亿的pv是对UM各种指标的最好测试平台。当然随着代码的减少,UM的功能对于UE来说还是有所减少,但我们经过调研和大家对于UM提出的各种意见,提供了现在UM的功能版本,虽然有删减
  3. 所属分类:MiddleWare

    • 发布日期:2017-06-16
    • 文件大小:25927680
    • 提供者:Toms
  1. QMI_72-VB633-10_1.6.04

    1下载:
  2. Qmicm.msi.set up connect with LTE network.Monitor flow load and PDP status.Use the tools can display all user status, UE PLMN,network,WMM.-Qmicm.msiset up connect with LTE network.Monitor flow load and PDP status.Use the tools can display all user st
  3. 所属分类:ICQ Hack

    • 发布日期:2016-01-11
    • 文件大小:6075392
    • 提供者:lu
  1. lte.tar

    0下载:
  2. Define the LTE topology # UE(i) <--> eNB <--> aGW <--> server # Other configuration parameters see ~ns/tcl/lib/ns-default.tcl
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-25
    • 文件大小:94373
    • 提供者:Amit Sinha
  1. Optimizing-Spatial-Filters-for-Robust-EEG-Single-

    0下载:
  2. ue to the volume conduction multichannel electroencephalogram (EEG) recordings give a rather blurred image of brain activity. Therefore spatial filters are extremely useful in single-trial analysis in order to improve the signal-to-noise ratio.
  3. 所属分类:Development Research

    • 发布日期:2017-05-04
    • 文件大小:1248345
    • 提供者:fariba
  1. LW_utux0

    0下载:
  2. function [ue,un]=LW_utux0(v,dt,t) 一个简单的双曲型偏微分方程: ut + ux = 0 初始条件为: u(x,0) = 1, x≤0 = 0, x>0. 边界条件为: u(-1,t)=1,u(1,t)=0. 本题要求: 使用Lax-Windroff method,选择 v=0.5, 计算并画出当dt=0.01和0.0025时, 方程在t=0.5,x在(-1,1)时的数值解和精确解 输入:
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:910
    • 提供者:kingofhevil
  1. LW_utux0_2

    0下载:
  2. function [ue,un]=LW_utux0_2(v,dt,t) 一个简单的双曲型偏微分方程: ut + ux = 0 初始条件为: u(x,0) = exp[-10(4x-1)^2] 边界条件为: u(-1,t)=0,u(1,t)=0. 本题要求: 使用Lax-Windroff格式,选择 v=0.5, 计算并画出当dt=0.01和0.0025时, 方程在t=0.5,x在(-1,1)时的数值解和精确解 输入: v--即a
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:913
    • 提供者:kingofhevil
  1. UPW_utux0

    0下载:
  2. function [ue,un]=UPW_utux0(v,dt,t) 一个简单的双曲型偏微分方程: ut + ux = 0 初始条件为: u(x,0) = 1, x≤0 0, x>0. 边界条件为: u(-1,t)=1,u(1,t)=0. 本题要求: 使用迎风格式,选择 v=0.5, 计算并画出当dt=0.01和0.0025时, 方程在t=0.5,x在(-1,1)时的数值解和精确解 输入: v--即a*dt/dx
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:828
    • 提供者:kingofhevil
  1. UPW_utux0_2

    0下载:
  2. function [ue,un]=UPW_utux0_2(v,dt,t) 一个简单的双曲型偏微分方程: ut + ux = 0 初始条件为: u(x,0) = exp[-10(4x-1)^2] 边界条件为: u(-1,t)=0,u(1,t)=0. 本题要求: 使用迎风格式,选择 v=0.5, 计算并画出当dt=0.01和0.0025时, 方程在t=0.5,x在(-1,1)时的数值解和精确解 输入: v--即a*dt/dx
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:885
    • 提供者:kingofhevil
  1. delay()-FOR-LED-UE-OR-DOUN

    0下载:
  2. 使用状态机来实现LED延时闪烁,方便对状态机的认识和理解.教程是一个系列的.结构严谨逻辑清晰方便易读.-Using the state machine to implement LED flashes delay, facilitate awareness and understanding of the state machine. Tutorial is a series of structural rigorous logic clear and easy to read
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2206
    • 提供者:高飞
  1. DumpFunctionByKaBuDa

    0下载:
  2. 本人自己编写的dump程序,用于调试数据流时用的函数,类似UE的十六进制显示风格-It is used for tracing the data flow to print the details about the raw data according to the dump format.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1006
    • 提供者:林龙
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com