CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 频率

搜索资源列表

  1. Music_altera

    0下载:
  2. 采用Verilog HDL设计,在Altera EP1S10S780C6开发板上实现 选取6MHz为基准频率,演奏的是梁祝乐曲 - Uses Verilog the HDL design, development board realizes in Altera on the EP1S10S780C6 selects 6MHz is the datum frequency, the performance is Liang wishes the music
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:652415
    • 提供者:huhu
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. FPGA_test_frequency

    0下载:
  2. 本原码是基于Verilog HDL语言的FPGA原程序,主要用于测频率,特点主要是可以更快地测频。实时性更高。-primitive code is based on Verilog HDL FPGA original program, mainly for the measurement frequency, the main features can be faster frequency measurement. Real-time higher.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1483
    • 提供者:jevidyang
  1. 9.4_PULSE_FRE

    2下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.4 脉冲频率的测量与显示   9.4.1 脉冲频率的测量原理   9.4.2 频率计的工作原理   9.4.3 频率测量模块的设计与实现   9.4.4 while循环语句的使用方法   9.4.5 门控信号发生模块的设计与实现   9.4.6 频率计的Verilog-HDL描述   9.4.7 频率计的硬件实现 -based on Verilog-HDL hardware Circuit of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2463
    • 提供者:宁宁
  1. verilogshejiMiLeJIEMAQI

    2下载:
  2. 用verilog设计密勒解码器 一、题目: 设计一个密勒解码器电路 二、输入信号: 1. DIN:输入数据 2. CLK:频率为2MHz的方波,占空比为50% 3. RESET:复位信号,低有效 三、输入信号说明: 输入数据为串行改进密勒码,每个码元持续时间为8μs,即16个CLK时钟;数据流是由A、B、C三种信号组成; A:前8个时钟保持“1”,接着5个时钟变为“0”,最后3个时钟为“1”。 B:在整个码元持续时间内都没有出现“0”,即连续16个时钟保
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212699
    • 提供者:mingming
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. fcout

    0下载:
  2. 频率计源代码,性能很好,verilog写的,顶层原理图,底层语言写的,效果很好,开发环境为quartus-Cymometer source code, good performance, verilog written by the top diagram, the bottom language was written. good effect, and development environment for quartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:294270
    • 提供者:苏纳
  1. DDSFPGA_cylone

    0下载:
  2. dds设计,花了一个星期做的,verilog写的,可生成多种波形,频率范围可上M,性能不错。-dds design, spent a week doing, verilog written, multiple waveform generation, frequency range available on the M, good performance.
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:637936
    • 提供者:苏纳
  1. DDS+51

    0下载:
  2. 本程序功能: DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,\"C\"文件夹内,是用于在 51 单片机上运行的 C语言程序, \"Verilog\"文件夹内,是用Verilog语言编写的 FPGA 程序.-this program functions : DDS folder procedures, complete direct digital frequency s
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1027313
    • 提供者:吴健
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. 8stepSymmetryCoefficientFilter

    0下载:
  2. 8阶对称系数并行FIR滤波器(verilog)用作数字滤波,系数可调。根据实际截止频率决定。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1146
    • 提供者:TGY
  1. dds_quicklogic

    0下载:
  2. 这是quicklogic公司的直接频率合成(DDS)Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:22732
    • 提供者:jinzhoulang
  1. Freq

    1下载:
  2. 简易数字频率计,用Verilog HDL编写的,基于Quartus II实现,结构清晰,功能较为全面,能满足简单的频率测量要求
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-16
    • 文件大小:404518
    • 提供者:余翔
  1. iic

    1下载:
  2. iic 总线 verilog 源代码 标准i2c总线, 有sda scl 时钟,频率自定
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2784
    • 提供者:johnnyz
  1. AD9852

    0下载:
  2. 数字频率合成器芯片AD9852 的配置文件,HDL级的Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1728
    • 提供者:李春阳
  1. equal_precision_cymometer

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真,用verilog语言实现,可以仿真综合得到所想时序!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-17
    • 文件大小:3986
    • 提供者:刘彬
  1. VerilogHDL_counter

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,被测波形分别为方波、三角波和正弦波;采用6个数码管显示结果,三档量程可调,工程价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1252536
    • 提供者:廖耿耿
  1. lowpass

    0下载:
  2. 低通滤波,参数含通带截止频率,阻带截止频率,边带区衰减DB数设置,截止区衰减DB数设置和序列x的采样频率。(Low pass filter, parameters including passband cut-off frequency, stop band cut-off frequency, sideband attenuation DB number, cut-off area attenuation DB number setting and sequence x sampling fr
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:邮差
  1. A4_Da_Top

    1下载:
  2. 利用AD、DA和VGA三个外设来实现简易示波器,DA外设发送正弦波给AD外设,AD外设解析成数字信号将数据送给VGA外设进行显示。在VGA上可以看到DA外设发送的波形、波形频率和波形峰峰值。(The simple oscilloscope is realized by using AD, DA and VGA peripherals. The DA peripheral sends sine wave to the AD peripheral, and the AD peripheral res
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-01
    • 文件大小:3430400
    • 提供者:电子鱼
  1. down_up_dds

    2下载:
  2. 在Vivado下完成AD输入到下变频的功能,频率可配置,通用化设计。(The function of AD input to down conversion is completed under Vivado, and the frequency is configurable and universal design.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-13
    • 文件大小:5795840
    • 提供者:Park_sc
« 1 2 3 4 5 6 78 9 10 11 12 ... 18 »
搜珍网 www.dssz.com