CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog HDL FPGA

搜索资源列表

  1. I2C

    0下载:
  2. I2C主机端模块 具有avalon-MT总线接口 可挂载在Altera soc系统之上 使NiosII处理器具备I2C通信能力 模块由Verilog HDL编写 并经Cyclone II FPGA测试-I2C master modul which has a avalon-MT interface that can be attached to Altera SOC system. It provides NiosII I2C communication capability . This mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5917
    • 提供者:magic_andy
  1. verilog_FPGA_DDC

    3下载:
  2. 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:王坤
  1. auk_sdsdi

    6下载:
  2. 用于FPGA设计的代码(Verilog代码),在FPGA设计中的高速串并转换,时钟提取,对齐处理等功能-for FPGA design ,written by Verilog HDL the functions include SERDES , CDR and so on
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:229495
    • 提供者:龙珠
  1. FpgaDesignOfWirelessCommunicationsCodeExamples

    0下载:
  2. 无线通信fpga设计代码实例,包括MATLAB和Verilog HDL 语言实例,供大家学习和研究-Fpga design of wireless communications, code examples, including examples of MATLAB and Verilog HDL language, for them to learn and study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:206600
    • 提供者:steven
  1. UART_VHDL

    0下载:
  2. 由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:291305
    • 提供者:lilei
  1. SDR

    0下载:
  2. FPGA based implementation of a SDR - codes in Verilog HDL for the processor and control.-FPGA based implementation of a SDR- codes in Verilog HDL for the processor and control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5640
    • 提供者:Sirisha
  1. CHICAGO5Manual

    0下载:
  2. 高科技的发展使芯片设计不再是半导体工业的领域,现场可编程逻辑阵列(FPGA)的出现使通过软件来快速实现芯片设计成为可能。本系统是广泛面向全球的工程技术人员和大专院校学生,使您能够在最短的时间内掌握FPGA的应用与VHDL/AHDL/Verilog HDL这一电子逻辑设计利器,迅速的加入高级电子设计人才行列。-The development of high-tech chip design is no longer the field of semiconductor industry, fiel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:257817
    • 提供者:童志通
  1. clock

    0下载:
  2. 多功能数字钟Verilog HDL的源码,能够整点报时,报整点数,设定任意时刻闹钟,低音高音两种频率。-Multi-function digital clock Verilog HDL source code, set the alarm clock at any time, bass treble two frequencies. It s for FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:984150
    • 提供者:Stone Lei
  1. tlc2543AND11channel

    2下载:
  2. 11路串行AD采集芯片TLC2543,12BIT精度输出,100Khz,采用VERILOG HDL编写,占用200个LE-11-Channel Serial AD acquisition chip TLC2543, 12BIT accuracy of the output, 100Khz, using VERILOG HDL preparation, taking up 200 LE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:32276
    • 提供者:chenwl
  1. TLC5620

    0下载:
  2. Verilog HDL语言,FPGA实现TLC5620的DAC源代码-Verilog HDL language, FPGA implementation of the DAC TLC5620 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:497039
    • 提供者:双目林
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. Fre_Counter_verilog

    0下载:
  2. 基于ep3c25的FPGA频率计的简单设计(用verilog HDL),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using verilog HDL), can directly open the ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1138233
    • 提供者:yunhen
  1. DE2_70_AUDIO

    0下载:
  2. 是用VERILOG HDL和NIOS II C/C++ 编的DE2-70板子的音频编解码芯片的使用工程-Is VERILOG HDL and NIOS II C/C++ code of the DE2-70 board in the audio codec chip, the use of project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21566708
    • 提供者:覃建策
  1. FPGAdeguangshanjiancejishu

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:1329029
    • 提供者:于小微
  1. led_water

    0下载:
  2. FPGA 流水灯Verilog HDL.-FPGA flow light Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1468
    • 提供者:程畏
  1. SPIVerilogHDL

    0下载:
  2. SPI协议Verilog HDL程序包用Verilog语言实现fpga模拟实现spi协议功能-fpga-spi-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:84679
    • 提供者:zhn
  1. music

    0下载:
  2. verilog HDL编写的文件,实现音乐播放,FPGA为EP2C8Q208C8N,编译通过,详细内容参考代码。-verilog HDL documents prepared, the music player, FPGA to EP2C8Q208C8N, compile, details reference code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2980
    • 提供者:维斯
  1. hdl

    0下载:
  2. ACTEL FPGA 1602显示,verilog描述-ACTEL FPGA 1602 show, verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3128
    • 提供者:gouyouwen
  1. hdl

    0下载:
  2. ACTEL FPGA 交通灯,Verilog描述-ACTEL FPGA traffic lights, Verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5655
    • 提供者:gouyouwen
  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
« 1 2 3 4 56 7 8 9 10 ... 24 »
搜珍网 www.dssz.com