CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog IIc

搜索资源列表

  1. IIC

    0下载:
  2. 实现一个i2c总线结构,采用verilog语言实现,主要用于总线结构的学习,大家可以看看!-A i2c bus architecture using verilog language, mainly used in the bus structure learning, we can see!
  3. 所属分类:OS Develop

    • 发布日期:2017-11-06
    • 文件大小:2324
    • 提供者:rsw
  1. IIC-and-spi

    0下载:
  2. iic协议和SPI的verilog代码,主要根据两个协议来传输数据,其中代码有自己写的和借鉴他人修改的,已验证-The iic protocol and SPI verilog code control iic data transmission, and write your own and learn from others to modify Verified
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:15094
    • 提供者:汤欲涛
  1. iic

    0下载:
  2. 用FPGA verilog HDL模拟I2C通信-FPGA verilog HDL simulation I2C communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:797249
    • 提供者:高飞
  1. IIC

    0下载:
  2. 夏宇闻<Verilog数字系统设计教程>源代码,已经可综合和实现,可以用Modelsim编译-Xia Wen <Verilog数字系统设计教程> Source code, has been integrated and implemented can be compiled using Modelsim
  3. 所属分类:source in ebook

    • 发布日期:2017-11-24
    • 文件大小:286754
    • 提供者:赖俊
  1. IIC

    0下载:
  2. 通过IIC总线配置AD9883A(Verilog) 硬件:Ep1C12 -The AD9883A (Verilog) hardware via the IIC bus configuration: Ep1C12
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:891685
    • 提供者:
  1. IIC-master

    0下载:
  2. Verilog的FPGA实现,有一定的参考价值,实现了基本的IIC总线功能-Of Verilog FPGA, the reference value, the IIC bus
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:88311
    • 提供者:mahongtao
  1. CPLD_i2ccontroller

    0下载:
  2. AD9985的i2c控制器,verilog代码-the Verilog IIC controller for AD9985
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:3696
    • 提供者:zhangqiang
  1. IIC_EEPROM

    0下载:
  2. 这是FPGA用Verilog写的IIC协议,可以对存储器进行简单的读取。-This is the FPGA using Verilog IIC protocol, you can perform simple memory read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3893072
    • 提供者:胡刚
  1. iic

    0下载:
  2. 主要对Iic通信协议做简单的规定,通过verilog语言设置。-Iic main communications protocol for doing simple rules, through verilog language settings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2689
    • 提供者:王世豪
  1. IIC

    0下载:
  2. 使用verilog HDL编写IIC代码,通过FPGA读取mpu6050数据,其他IIC器件代码类似-IIC written using verilog HDL code, read mpu6050 data through FPGA, similar to other IIC device code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2450
    • 提供者:陈孙杰
  1. iic

    0下载:
  2. verilog语言,iic通信,led显示-verilog language, iic communications, led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:192940
    • 提供者:leandia
  1. IIC

    0下载:
  2. verilog编写,京微雅格出品IIC 控制器-IIC controller,writed by YiJingjing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1432
    • 提供者:马逸群
  1. I2CReadWrite

    0下载:
  2. verilog IIC 读写例程,非常好用-verilog IIC read write it very good code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3093149
    • 提供者:hsj
  1. IIC

    0下载:
  2. 硬件语言verilog实现IIC控制器,严格按照IIC协议编写硬件控制器行为及代码-Hardware language verilog realize IIC controllers, written in strict accordance with IIC protocol hardware controller behavior and codeHardware language verilog realize IIC controllers, written in strict acco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1554
    • 提供者:张龙
  1. iic

    0下载:
  2. verilog实现IIC读写AT24C02-IIC rw AT24C02
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3718
    • 提供者:Jay
  1. iic

    0下载:
  2. i2c接口的功能实现代码,用VERILOG编写,并附有testbench.-i2c interface function implementation code, written in VERILOG, along with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:534275
    • 提供者:jianglei
  1. i2c

    0下载:
  2. fpga verilog IIC 已经调试通过-fpga verilog IIC
  3. 所属分类:Com Port

    • 发布日期:2017-04-29
    • 文件大小:9759
    • 提供者:建邺区
  1. IIC

    0下载:
  2. 这是一个关于verilog的IIC内核,已经经过验证,没问题-this ia a core for IIC of verilog .It is OK for runing.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-03
    • 文件大小:930601
    • 提供者:steef
  1. IIC

    0下载:
  2. IIC读写发送到PC串口的verilog源程序-IIC send the data to rs232 by pc
  3. 所属分类:Com Port

    • 发布日期:2017-05-16
    • 文件大小:3841416
    • 提供者:boren
  1. iic

    0下载:
  2. 通过verilog语言实现了关于IIC协议,并且通过了modelsim的功能仿真验证以及板卡之间的RTL调试。-the verilog code about IIC standard,checked by modelsim,and make ture the IIC function in RTL。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2885548
    • 提供者:wuxingtao
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com