CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog Uart

搜索资源列表

  1. micro uart

    0下载:
  2. 硬件uart源程序verilog HDL,即相关文档-hardware UART Verilog HDL source, that the relevant documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:342886
    • 提供者:陈正一
  1. verilog for uart

    0下载:
  2. 通用异步接收器/发送器(UART)是能够编程以控制计算机到附加串行设备的接口的微芯片。详细来说,它提供给计算机RS-...还有高级的UART提供了一定数量的数据缓冲,这样计算机和串行设备数据流就可以保持同样的速度。-universal asynchronous receiver / transmitter (UART) can be programmed to control computer attached to the serial device interface microchips.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:9682
    • 提供者:李志
  1. u-uart

    0下载:
  2. 一个可综合的串并转换接口verilog源代码-a comprehensive series of conversion and interface Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5599
    • 提供者:李文文
  1. uart-verilog-vhdl

    0下载:
  2. 拿verilog和vhdl编写的串口通信代码(可综合)-with vhdl and verilog prepared by the serial communication code (synthesis)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:295414
    • 提供者:刘索山
  1. uart_core_vhdlORverilog

    0下载:
  2. 串uart的vhdl,verilog,lattic实现原码 里面有四个文件,分别UART 源码 (lattice version)\\uart 源码 (Verilog)\\uart 源码 (VHDL)\\uart16550.tar-uart series of vhdl and verilog. lattic achieve the original code, there are four documents, Source respectively UART (lattice versi
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:295101
    • 提供者:efly
  1. uart

    0下载:
  2. 实现简单的UART功能,在QUARTUS4.0下编译通过,采用VERILOG HDL编写.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:886
    • 提供者:不是
  1. UART

    0下载:
  2. UART 串口程序,verilog语句,很好的实现了UART的通信功能!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:182822
    • 提供者:王和国
  1. uart

    0下载:
  2. 用Verilog实现的串口异步通信,适用于RS232
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1126543
    • 提供者:王权
  1. uart

    0下载:
  2. 用verilog实现UART串口收发。状态机形式实现,波特率可调(Use verilog to achieve UART serial transceiver. State machine form, adjustable baud rate)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:3265536
    • 提供者:zhaodameng
  1. UART发送接收奇偶校验

    1下载:
  2. 状态机,串口收发,以及奇偶校验。 even_parity.v奇偶校验; receive_byte.v字节接收; send_byte.v字节发送(state machine,UART even_parity.v even parity; receive_byte.v receiving byte; send_byte.v sending byte)
  3. 所属分类:串口编程

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:陈宇晨
  1. verilog

    0下载:
  2. lcd1602 12864显示程序代码,串口传输数据代码(lcd1602 12864 code,UART code.)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:7168
    • 提供者:打蛋器
  1. apb-uart

    1下载:
  2. apb—uart模块,实现中断处理和异步收发数据并处理(APB - UART module, interrupting processing and asynchronous receiving and receiving data and processing)
  3. 所属分类:网络编程

    • 发布日期:2018-04-30
    • 文件大小:4096
    • 提供者:王大柱
  1. uart

    0下载:
  2. 此上传文件实现的功能就是FPGA里实现从PC接收数据,然后把接收到的数据发回去。 使用的是串口UART协议进行收发数据。(The function of this upload file is to receive data from PC in FPGA and send back the received data.The serial port UART protocol is used to receive and receive data.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1649664
    • 提供者:木子桶
  1. 12345 keyuart

    0下载:
  2. verilog实现uart串口编程 FPGA板与PC传输数据(verilog uart processing FPGA and PC communication)
  3. 所属分类:串口编程

    • 发布日期:2018-05-03
    • 文件大小:6504448
    • 提供者:`m
  1. UART_FPGA

    0下载:
  2. FPGA下的UART串口通信协议及控制器设计(UART serial communication protocol and controller design under FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:Dream0
  1. 黑金Sparten6开发板Verilog教程V1.6

    2下载:
  2. 黑金spartan的开发板教程,包含了各类接口如spi,uart,vga的用例,以及各项存储器如flash,ddr的操作方法(spartan 6 example design)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-03
    • 文件大小:19894272
    • 提供者:爱的分啥
  1. PC2FPGA_UART_Test

    0下载:
  2. 基于 fpga 的 uart 设计 波特率 115200(UART design based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:6004736
    • 提供者:梦里千梦
  1. VerilogUart_Modelsim

    1下载:
  2. 使用Verilog编写的UART ,用Modelsim仿真工程。(use Verilog Write UART Program, Modelsim simmulate the project)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-01-10
    • 文件大小:47104
    • 提供者:myBuf
  1. apb_uart

    3下载:
  2. 这里是apb总线设计代码。这个源程序是基于verilog语言设计的(Here is the APB bus design code. This source program is designed based on Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-06
    • 文件大小:2048
    • 提供者:megmand
  1. uart

    1下载:
  2. 电脑端发送数据与FPGA接收数据程序,uart模块,以及一部分项目里包含的其他的程序(Program for sending data from computer and receiving data by FPGA, UART module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-26
    • 文件大小:18400256
    • 提供者:godxun
« 1 2 3 4 5 6 78 9 10 11 12 ... 28 »
搜珍网 www.dssz.com