CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ad

搜索资源列表

  1. src

    1下载:
  2. verilog AD采样源代码,自己参照例程编写-verilog AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5110
    • 提供者:william
  1. module-ad

    0下载:
  2. AD控制程序,基于Verilog语言来编写程序代码.-AD control program based on the Verilog language to write code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4472
    • 提供者:erick
  1. AD[TLC549]

    0下载:
  2. AD[TLC549] :采集模拟输入,电压动态显示在数码管(用verilog实现)-AD [the TLC549]: the acquisition of the analog input voltage dynamic digital tube (Verilog)
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:591636
    • 提供者:XF
  1. ad

    0下载:
  2. ad采样程序,Verilog HDL,实测可用-ad sampling procedures, Verilog HDL, measured available
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:1535
    • 提供者:shaojia
  1. AD

    0下载:
  2. ccd驱动程序的verilog语言描述,介绍了其中的一种方法-The CCD driver' s Verilog language to describe a
  3. 所属分类:software engineering

    • 发布日期:2017-11-19
    • 文件大小:8193
    • 提供者:陶渊
  1. 1602test

    0下载:
  2. Verilog AD转换1602显示,用QuartusII编写的。完整的工程,好使!-Verilog AD converter 1602, with QuartusII prepared. Complete works, so that!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1508894
    • 提供者:小波
  1. AD

    0下载:
  2. 控制AD7934的信号verilog,控制AD7934的信号verilog-control the ad7934
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:835
    • 提供者:倪日文
  1. adc_test

    0下载:
  2. verilog AD采样源代码,包括test代码-verilog AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4593709
    • 提供者:chentienian
  1. Quartus

    1下载:
  2. VERILOG AD采集程序 FIFO存储-VERILOG AD acquisition program FIFO memory
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:739561
    • 提供者:
  1. AD

    0下载:
  2. 基于FPGA的AD采集系统 用verilog编写 基于basys2开发板-FPGA AD verilog basys2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:615888
    • 提供者:赵安江
  1. yuanma

    0下载:
  2. 介绍了fpga开发的的数个工程源码,包括按键,时钟,AD/DA,VGA,数字示波器等(Introduced FPGA development of several engineering source code, including buttons, clock, AD/DA, VGA, digital oscilloscope, etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:92250112
    • 提供者:大众
  1. ADM_code

    0下载:
  2. AD采样转换,采用verilog完成,可直接使用。(AD TRANSMIT using verilog complete, can be used directly.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:1024
    • 提供者:godluan
  1. DA_AD_v1.1B

    0下载:
  2. AD DA程序测试已经通过。12位分辨率 500KHz的AD和DA(Program test has passed by AD and DA with 12 bits resolution ratio in 500HZ frequency)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:8859648
    • 提供者:MIKE_LIANG
  1. SMG

    0下载:
  2. 实现将BCD码动态扫描显示在数码管上--verilog(The realization of dynamic scanning BCD code displayed on the digital tube --verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:3072
    • 提供者:Yukioooo
  1. ad_prj1.4.3

    1下载:
  2. AD采集固定点数FPGA对采集数据进行指定次数累加,存储至片外SRAM并等待上位机发送取数据指令(The AD acquisition fixed point number FPGA adds the number of data to the collected data, stores it to the outside SRAM and waits for the upper computer to send the data instruction)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:40486912
    • 提供者:爱绒雪
  1. ADC_Data_Recv_Module

    2下载:
  2. 接收机测试输入信号, 生成正余弦波,采样率、频率、幅度、相位可调节 并将生成的数据进行输出 压缩包包括Verilog代码、testbench代码、word文档 matlab仿真代码(The receiver tests the input signal, Generation of positive cosine wave, sampling rate, frequency, amplitude, phase can be adjusted And output the generated da
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:512000
    • 提供者:nokkk
  1. FPGA verilog代码

    2下载:
  2. ad转换模块hx711用FPGA的驱动实现(hx711 FPGA aaaaaaaaaaaa)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:389120
    • 提供者:棨戟kv
  1. 28_adda_test

    0下载:
  2. 在Quartus平台上,完成了AD、DA的Verilog实现,测试结果准确。(Use Verilog to realize the function of AD and DA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:8151040
    • 提供者:平民
  1. ad73311

    1下载:
  2. AD73311芯片的控制和数据程序,用于控制音频AD芯片。(AD73311 chip control and data program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:5120
    • 提供者:fengyuanzyt
  1. ADDA

    1下载:
  2. 实现AD和DA的转换,通过Verilog实现这个功能(Realize the transformation between AD and DA, and realize this function through Verilog.)
  3. 所属分类:文章/文档

    • 发布日期:2020-02-25
    • 文件大小:336896
    • 提供者:回忆121
« 1 2 34 5 6 7 »
搜珍网 www.dssz.com