CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ldpc

搜索资源列表

  1. ldpc_decoder_802_3an

    1下载:
  2. 802.3an ldpc码编码、译码设计,使用VERILOG hdl语言编写,包括测试代码,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:788866
    • 提供者:聂样
  1. LDPC_Encoder_Verilog

    0下载:
  2. Verilog语言编写的LDPC编码程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9276
    • 提供者:陈楚龙
  1. ldpc_encoder_802_3an.v

    0下载:
  2. LDPC 编码的Verilog源代码,我没有验证,不知道效果如何,与大家分享,供大家参考。
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2008-10-13
    • 文件大小:622961
    • 提供者:peter
  1. ldpc码的verilog源码

    0下载:
  2. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2009-03-21
    • 文件大小:9093
    • 提供者:pliu135@163.com
  1. ldpc_vc

    0下载:
  2. ldpc编码的vc例程,编译通过,详细的解释了ldpc编码的原理-vc-encoded ldpc routine, the compiler through a detailed explanation of the principle of encoding ldpc
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-24
    • 文件大小:302988
    • 提供者:尚龙
  1. Framer

    3下载:
  2. ISE平台下的verilog的QC-LDPC编码,经仿真没有问题-ISE platform verilog QC-LDPC coding, no problems by simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1118563
    • 提供者:kwiicsyy
  1. ldpc_c_code

    0下载:
  2. LDPC码在基于BP (Belief Propagation) 的迭代译码相结合的条件下具有逼近Shannon 限的性能,是继turbo 码后在纠错编码领域又一重大进展。压缩文件中给出了LDPC在高斯信道下的c程序。-LDPC codes based on BP (Belief Propagation) Iterative Decoding of combining conditions with performance approaching Shannon limit on the heel
  3. 所属分类:通讯编程

    • 发布日期:2013-10-12
    • 文件大小:7370
    • 提供者:aiguixia
  1. VLSI_Architectures_for_ECC

    0下载:
  2. This thesis devoted to several efficient VLSI architecture design issues in errorcorrecting coding, including finite field arithmetic, (Generalized) Low-Density Parity- Check (LDPC) codes, and Reed-Solomon codes.-This thesis is devoted to sever
  3. 所属分类:行业发展研究

    • 发布日期:2013-10-12
    • 文件大小:1071860
    • 提供者:MicroSam
  1. the-decoding-algorithm-of-ldpc

    1下载:
  2. ldpc译码算法介绍及fpga verilog系统方案设计,包括log_bp算法、min_sum算法、scaling_min_sum算法等-introducing the ldpc code decoding algorithm and the related system design,including the log_bp,the min_sum and the scaling_min_sum
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:81513
    • 提供者:类春阳
  1. ldpc-encode

    0下载:
  2. 深空通信中AR4JA码编码的研究与实现,AR4JA码是LDPC码的一种,文件中是Verilog语言的硬件实现。-Research and Implementation of the Deep Space Communications AR4JA coding, AR4JA code LDPC codes a hardware implementation of the Verilog language file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3565840
    • 提供者:liangliang
  1. LDPCtest

    1下载:
  2. ldpc编码器ru算法的verilog语言的完整实现,希望对您有用-ldpc encoder, RU, VERILOG,altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:73197
    • 提供者:geniuszh
  1. dvb_s2_ldpc_decoder_latest.tar

    0下载:
  2. 用于数字电视机顶盒的DVB-S2的LDPC编码的解码模块,verilog代码-For digital TV set-top boxes of DVB- S2 LDPC coding, decoding module of verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22222853
    • 提供者:YUKAI ZHANG
  1. ldpc

    1下载:
  2. 移动通信技术中信道编码的LDPC码的Verilog hdl 实现-Verilog hdl channel coding of mobile communication technology to achieve LDPC codes
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2377
    • 提供者:杜欧鸥
  1. MS_LDPC

    0下载:
  2. 移动通信技术中信道编码的LDPC码的译码Verilog hdl 实现-Decoding Verilog hdl channel coding of mobile communication technology to achieve LDPC codes
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:909
    • 提供者:杜欧鸥
  1. vnp

    0下载:
  2. 移动通信技术中信道编码的LDPC码的VNP的Verilog hdl 实现-Channel coding of mobile communication technology LDPC code VNP realization of Verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:1229
    • 提供者:杜欧鸥
  1. QC-LDPC-decoder-FPGA

    0下载:
  2.  文章提出了一种可以兼容不同码率规则和非规则准循环低密度校验码(LDPC)的部分并行译码结构, 用Verilog语言开发,基于该部分并行结构在Altera公司的StratixII-EP2S90器件上验-This paper presents a part of different bit rates can be compatible with the rules and irregular quasi-cyclic low density parity check code (LDPC) de
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:421250
    • 提供者:我问问
  1. ldpc576

    1下载:
  2. 基于wimax协议的低密度奇偶校验码LDPC的VERILOG实现,亲测可用。-WiMAX protocol based on the low density parity check code VERILOG LDPC implementation, pro test available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-18
    • 文件大小:546816
    • 提供者:kobe
  1. e60a9bd4-ef5c-4c89-bfb3-9da40d5e4aba

    0下载:
  2. 低密度校验码 ,很好用的代码,功能已经实现编码和译码(Low density parity check code, very good code, the function has been achieved encoding and decoding)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-29
    • 文件大小:8192
    • 提供者:RubenJH
  1. src

    3下载:
  2. 用verilog实现ldpc最小和译码算法(This code is for the decode of MS-algorithm based on LDPC.)
  3. 所属分类:其他

    • 发布日期:2018-04-15
    • 文件大小:49152
    • 提供者:w_w_m
  1. ldpc_decoder_802_3an

    2下载:
  2. LDPC的编码模块和解码模块,实现802-3an协议的编码(The module of LDPC to implement the coding of the 802-3an protocol)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-24
    • 文件大小:1017856
    • 提供者:fengyuanzyt
« 1 23 »
搜珍网 www.dssz.com