CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl编程

搜索资源列表

  1. Tristate-buffers

    0下载:
  2. 本程序完成三态缓冲器的功能,采用硬件编程语言VHDL实现。-This procedure completion tristate buffers using hardware programming language VHDL implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:19198
    • 提供者:杨好人
  1. protel99se

    0下载:
  2. 很好的画图软件,破解后和正版没有什么区别。支持离线仿真,支持vhdl语言程序编写编程,仿真波形图的事实抓取。 -Good drawing software, cracks and genuine no difference. Support offline simulation, support VHDL programming language programming, simulation of the fact that the waveform capture.
  3. 所属分类:software engineering

    • 发布日期:2017-12-15
    • 文件大小:58484736
    • 提供者:谭先强
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. freq_divider7

    0下载:
  2. 本程序为七分频数字电路的实现,采用VHDL语言编程,采用常见的奇数次分频方法实现,进仿真证实可用。其他奇数次可以直接修改程序中相关参数值即可直接移植引用-This procedure is the seventh-frequency digital circuits implemented using VHDL language programming, using a common method to achieve the odd division into simulation confi
  3. 所属分类:HardWare Design

    • 发布日期:2017-04-12
    • 文件大小:522
    • 提供者:LHX
  1. FPGA_USB_Communication

    0下载:
  2. 本软件利用USB控制芯片cy7c68013A实现了USB通讯。压缩文件包括在fpga里面编程的vhdl软件-This software uses the USB control chip cy7c68013A to achieve the USB communication. The compressed file include programming in FPGA VHDL software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3206670
    • 提供者:kc218
  1. FPGA-8253

    0下载:
  2. 本文就基于 FPGA微机与接口实验平台设计的问题,首先讲述了 核心板的设计。在 FPGA基础上,以可编程计数器 / 定时器 8253 和可编程并行控制器 8255为例,并介绍了 8255 和 8253 接口芯片,用 VHDL语言设计了8255 和 8253 的功能,最后在 ModelSim SE开发软件上实现了编译、调试、-In this paper, based on FPGA computer and interface experimental platform design issues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:581632
    • 提供者:吕攀攀
  1. 1

    0下载:
  2. 实现两个乘数为1-3的乘法,输入利用拨码开关控制,输出结果在数码管上显示,编程语言为VHDL-To achieve a multiplier of two for the multiplication of 1-3, the use of dial switch control input, the output results in the digital tube display, programming language for VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:260096
    • 提供者:殷祥
  1. VHDL1

    0下载:
  2. VHDL语言教程,初级的编程语言以及语法,适合初学者-VHDL language tutorial, the primary programming language and grammar, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:98204
    • 提供者:刘峰
  1. fan control

    0下载:
  2. VHDL逻辑编程语言使用,关于时钟部分的信号编译说明;(FAN Controlling about VHDL language,You can use this partion to do the code about the clock)
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:34816
    • 提供者:Elaine2006
  1. world-clock

    0下载:
  2. 世界时钟,用vhdl语言编辑的一个世界时钟,基本入门编程(World clock, using a VHDL language editor of a world clock, basic entry programming)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:4931584
    • 提供者:Songlin
  1. 新建文本文档 (2)

    0下载:
  2. 用FPGA实现全自动洗衣机控制电路,采用Qutuas 9.0 和VHDL语言进行编程实现,可以进行仿真。(Realizing the control circuit of full automatic washing machine with FPGA)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:霍子
  1. RFID

    0下载:
  2. 用于对单片机上RFID模块的驱动,VHDL语言,硬件编程。(It is used to drive the RFID module on single chip microcomputer, VHDL language and hardware programming.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:125952
    • 提供者:CCmy
  1. LDPC码编译码算法的研究与实现_李会雅

    0下载:
  2. 本文首先介绍了几种LDPC码的编译码算法,同时推导了译码错误概率和密度进化 过程,讨论了信道参数的门限效应。接着对LDPC码二分图中长度为4的环进行了深入 研究,提出了一种LDPC码校验矩阵的消4一环生成算法,采用Matlab和VC++融合编程 方式,完成了此算法的程序设计。此算法不仅可生成二进制LDPC码的校验矩阵,并且 对算法修正后,也可生成多进制LDPC码的校验矩阵。采用此算法后可避免LDPC码译 码过程中的重复迭代,显著提高了短帧LDPC码的误比特率性能。同时对不同参数对 L
  3. 所属分类:matlab例程

    • 发布日期:2018-05-01
    • 文件大小:1247232
    • 提供者:斯蓝蓝
  1. max31855开发资料

    2下载:
  2. MAX31855热电偶转换器开发流程 一、 准备硬件和相关知识 1. 硬件:一块开发板、逻辑分析仪、热电偶(常用的K型热电偶)、杜邦线等; 2. 相关知识: VHDL基础、SPI通信; 二、 max31855datasheet编程用到的部分: 1.电路连接图 2.串行接口时序特性 3 .串口时序 4.引脚分配 5.热电偶温度格式 三、程序编写 /** *****
  3. 所属分类:编程文档

    • 发布日期:2020-03-15
    • 文件大小:746595
    • 提供者:guitianxia
  1. 基于FPGA的多路同步脉冲发生器设计1

    0下载:
  2. 采用FPGA(现场可编程门序列)编写VHDL语言设计多路同步脉冲发生器,对信号进行分频处理,实现四路信号相位相差T/16和T/8的延迟相位输出,实现的四路脉冲与传统的脉冲同步器不同,它具有高集成度,高通用性,容易调整和高可靠性等特点。(Using FPGA (field programmable gate sequence) to write VHDL language to design multi-channel synchronous pulse generator, to divide
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 ... 36 37 38 39 40 41»
搜珍网 www.dssz.com