CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl语言

搜索资源列表

  1. [eda]vhdl

    2下载:
  2. 福州大学EDA选修课所有实验课程代码。VHDL语言描述(vhd),以及电路图(gdf)-Fuzhou University EDA optional courses in all experimental code. VHDL descr iption (vhd), and circuit (GdF)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:222534
    • 提供者:林锋杰
  1. TLC5510.VHDL

    0下载:
  2. TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3351
    • 提供者:少龙
  1. i2c_code(vhdl)

    0下载:
  2. i2c源码vhdl语言编写,传上与大家分享,请多指教-i2c source VHDL language, communicating with everyone sharing, please enlighten
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:4470
    • 提供者:bobodu
  1. VHDL-Clock

    0下载:
  2. 用VHDL语言写的时钟程序。采用模块化编程。可在EPM7128芯片上下载。编译环境可用Maxplus或Quartus。-write VHDL clock procedures. Modular programming. The EPM7128 chips download. Build environment or Quartus Maxplus available.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4347
    • 提供者:单单
  1. VHDL-FPGA-clock

    0下载:
  2. FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:269899
    • 提供者:王越
  1. VHDL-ysw

    0下载:
  2. 基于CPLD的棋类比赛计时时钟,第一个CNT60实现秒钟计时功能,第二个CNT60实现分钟的计时功能,CTT3完成两小时的计时功能。秒钟计时模块的进位端和开关K1相与提供分钟的计时模块使能,当秒种计时模块计时到59时向分种计时模块进位,同时自己清零。同理分种计时模块到59时向CTT3小时计时模块进位,到1小时59分59秒时,全部清零。同时,开关K1可以在两小时内暂停秒钟计时模块,分钟计时模块和小时计时模块。各模块的VHDL语言描述如下:-CPLD-based time clock chess c
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2716
    • 提供者:杨仕伟
  1. VHDL-six

    0下载:
  2. 用VHDL语言实现六分频,并且已经通过编译和仿真。由此可举一反三,实现任意偶数次分频。-VHDL six minutes frequency, and has been through translation, and simulation. From this we can draw a number at random dual frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25473
    • 提供者:philohb
  1. FSK-CODEC-VHDL

    0下载:
  2. FSK CODEC VHDL语言实现-FSK CODEC VHDL
  3. 所属分类:TAPI编程

    • 发布日期:2014-01-14
    • 文件大小:3446
    • 提供者:wang wen hai
  1. DS18B20+VHDL

    1下载:
  2. 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:818688
    • 提供者:刘西圣
  1. VHDL

    0下载:
  2. 运用vhdl程序设计语言进行ppm设计,ppm 设计在vhdl语言中非常常用,运用已经越来越广泛。-use vhdl program design language ppm design, vhdl ppm design in a very common language, has become increasingly widespread use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3776
    • 提供者:李里
  1. VHDL

    0下载:
  2. vhdl语言设计资料,学习FPGA设计的好书籍。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1591755
    • 提供者:闫胜利
  1. Examples-of-VHDL

    0下载:
  2. vhdl语言例程集锦,关于VHDL的一些事例程序,可供初学人学习
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:173462
    • 提供者:江涛
  1. ref-sdr-sdram-vhdl

    0下载:
  2. FPGA连接SDRAM的源程序,VHDL语言实现,功能基本完全。应用效果好。
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:731727
    • 提供者:young
  1. VHDL

    0下载:
  2. 本系统使用VHDL语言进行设计,采用自上向下的设计方法。目标器件选用Xilinx公司的FPGA器件,并利用Xilinx ISE 7.1 进行VHDL程序的编译与综合,然后用Modelsim Xilinx Edition 6.1进行功能仿真和时序仿真。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:297917
    • 提供者:西西
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. VHDL

    0下载:
  2. 一个直接数字频率合成的查表程序,VHDL语言,使用7128调试通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:147223
    • 提供者:Chen.Y.M
  1. vhdl

    0下载:
  2. VHDL教程 ppt版 绪论 第一章 VHDL基本结构 第二章 VHDL语言元素 第三章 VHDL的描述风格 第四章 VHDL的主要描述语句 第五章 组合逻辑电路设计 第六章 时序逻辑电路设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1081937
    • 提供者:陈松
  1. vhdl-intermediate

    0下载:
  2. VHDL进阶,在VHDL初步的基础上,使读者进一步深入了解VHDL语言现象和语句规划的特点,以及应用VHDL表达与设计电路的方法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:404348
    • 提供者:李芸
  1. vhdl

    0下载:
  2. 用VHDL语言编写的一个乘法器校程序 是基于BOOTH算法的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1115
    • 提供者:杨天
  1. eda-vhdl-traficlightctrl

    0下载:
  2. 用VHDL语言描述和实现的一个交通灯控制器,该交通灯系统为一个十字路口交通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄,乡红”和“主红,乡黄”状态,持续时间都为4秒。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1132
    • 提供者:黄然
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com