CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管

搜索资源列表

  1. digitalclock

    1下载:
  2. 这是一个数字钟的VHDL实现.采用八段数码管显示! --可调闹铃,可校时。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5829
    • 提供者:李弋鹏
  1. unsigned_4_adder

    0下载:
  2. 通过vhdl语言实现四位无符号数的加法,四位拨位置数,用数码管输出结果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2271
    • 提供者:万玉龙
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. frequency

    0下载:
  2. 这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1243027
    • 提供者:yato_logo
  1. shuzimiaobiao

    0下载:
  2. 数字秒表的VHDL设计,能精确到百分秒,在6位数码管上显示,分别有秒,分,小时,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:460857
    • 提供者:ellala
  1. counter_clk

    0下载:
  2. 是vhdl语言,在fpga开发板上实现十进制技术(7段数码管显示),包括复位,清零,计数使能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1951565
    • 提供者:李秀艳
  1. test

    0下载:
  2. 使用VHDL语言,对Altera公司的DE2开发板进行开发,本例实现了对板上7段数码管的显示,在niosiiIDE上基于硬件实现小灯的循环亮灭
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:55474
    • 提供者:张好
  1. bin27seg_vhdl

    0下载:
  2. 采用VHDL编写的七段数码管显示程序-prepared using VHDL paragraph 107 of the procedures Digital Display
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1070
    • 提供者:陈旭
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. TIME.rar

    0下载:
  2. 该程序是用VHDL语言实现的时钟程序,用六个数码管分别显示时分秒,而且可以实现控制功能。,The program is the realization of VHDL language of the clock process, with six digital tube display minutes and seconds, respectively, and control functions can be achieved.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:289363
    • 提供者:zhangkun
  1. FPGA-digital-clock-design

    0下载:
  2. 运用顶层设计思路设计好各个底层文件(VHDL代码),对各个底层文件进行功能仿真;采用原理图或者文本方法来实现顶层文件的设计,对顶层文件进行功能真仿真。在顶层文件功能仿真正确之后,把顶层文件下载到实验箱的FPGA里边去,验证电路功能是否正确。具体时间用6位数码管来显示,具有整点报时功能. -Designed various underlying file using top level design (VHDL code), on functional simulation of variou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2579997
    • 提供者:方可
  1. e4

    0下载:
  2. 7段数码管译码器,用VHDL在FPGA2000上显示-7 LED decoder with VHDL shown in FPGA2000
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:224262
    • 提供者:evelyn
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. shumaguanxianshi

    0下载:
  2. 数码管显示的实验,让读者了解数码管的原理,用vhdl驱动它的方法,并学习vhdl的使用技巧-Digital display of the experiment, so that readers understand the principles of digital control, using the method vhdl drive it and learn to use techniques vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:162605
    • 提供者:
  1. VHDL8

    0下载:
  2. 一个VHDL拨码开关以及数码管显示的例程,让你更好的明白VHDL查表法的方便,从而减少逻辑单元的使用。-A VHDL DIP switches and digital LED display routine, so you better understand the convenience of VHDL look-up table, thereby reducing the use of logic cells.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2099
    • 提供者:wyb
  1. washmachine

    0下载:
  2. 用VHDL语言描述了一个洗衣机的全部功能。包括洗涤,漂洗,脱水三种功能。洗涤完成后有蜂鸣音,并有数码管显示倒计时。-With the VHDL language to describe all the features of a washing machine. Including washing, rinsing, dehydration three functions. Beep after the completion of washing, and a digital display c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:217021
    • 提供者:jdy
  1. LED_0000_9999

    1下载:
  2. 7段数码管动态显示0000-9999,vhdl语言-7-segment LED dynamic display of 0000-9999, the VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1445
    • 提供者:黄鹏
  1. 6_DigTub

    0下载:
  2. FPGA,VHDL 语言 静态点亮一位数码管,适用于所有FPGA芯片,VHDL源程序!-FPGA, VHDL language static lit a digital control applies to all FPGA chip, VHDL source code!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:281473
    • 提供者:李诚
  1. vhdprograme

    0下载:
  2. 用vhdl做得CPLD静态两位数码管扫描 显示“10”两位数码管公用段选-CPLD with VHDL done two static scan digital tube displays
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:248240
    • 提供者:李一倬
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 28 »
搜珍网 www.dssz.com