CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管

搜索资源列表

  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. 三位数码管扫描

    1下载:
  2. 基于EDA,用vhdl和顶层图实现三位数码管扫描……
  3. 所属分类:源码下载

  1. VHDL串口通信(Qusrtus)

    0下载:
  2. Qusrtus工程 EP2C8Q208CN.串口通信,按键显示终端Welcome,串口控制数码管
  3. 所属分类:源码下载

    • 发布日期:2011-07-24
    • 文件大小:475865
    • 提供者:kerwin666
  1. datashow

    0下载:
  2. 本程序是一个用VHDL编写的数码管扫描显示控制器的设计与实现的程序,仅供学习。-This procedure is a VHDL prepared using digital tube scanning display controller design and implementation of procedures for learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:107766
    • 提供者:lesslie
  1. freq

    1下载:
  2. 智能频率计 1. 频率测量范围为1Hz~1MHz 2. 当频率在1KHz以下时采用测周方法 其它情     况采用测频方法.二者之间自动转换 3. 测量结果显示在数码管上,单位可以是Hz(H)、    KHz(AH)或MHz(BH)。 4. 测量过程不显示数据,待测量结果结束后,直接显示结果。 -Intelligent frequency meter 1. Frequency measurement range of 1Hz ~ 1MHz 2. When th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-09
    • 文件大小:238680
    • 提供者:谭超
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. myled4

    0下载:
  2. 四位动态数码管显示数字时钟的分位和秒位。工具:Quartus ii 6.0 语言:VHDL-4 shows the number of dynamic digital tube digital clock and seconds bit. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:191651
    • 提供者:杨晴飞
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. codelock

    0下载:
  2. 设计一个简单的数字电子密码锁,密码为4 位。 功能:1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、 密码清除:清除密码输入,并将输入置为”0000”; 3、 密码修改:将当前输入设为新的密码; 4、 上锁和开锁。 -Design a simple digital electronic locks, password 4. Function: 1, the password input: Each press of a button, requiring
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:514099
    • 提供者:hualiayue
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. VHDLdigital

    0下载:
  2. 7段数码管译码器设计与实现 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:89060
    • 提供者:爱好
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. pinlvji

    0下载:
  2. 自己编的一个频率计,verilog语言写的,用数码管显示方波的频率,测量量程是0.1hz~9999999hz,测方波的稳定性极高。-Their series a frequency counter, verilog language written with the digital display of the square wave frequency, measurement range is 0.1hz ~ 9999999hz, high stability of the square w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1426005
    • 提供者:龙德勇
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. 简单智能车VHDL实现

    0下载:
  2. FPGA实现智能车利用红外传感器使小车沿预定轨道平稳行驶,数码管显示障碍物与小车的距离,距离低于某值则停止运行
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-28
    • 文件大小:1015851
    • 提供者:361yjs
  1. EightLEDSame

    0下载:
  2. 实现数码管数据移位显示,例如第一次显示0123456,第二次为1234560(Digital tube data shift display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:207872
    • 提供者:稷下
  1. segscan数码管扫描

    0下载:
  2. 实现了fpga开发版对应按钮控制的数码管扫描(The realization of the FPGA development version of the corresponding button control digital tube scanning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:343040
    • 提供者:amunra03
  1. 八位数码管的动态显示VHDL程序

    0下载:
  2. 7段数码管动态显示程序,高频动态显示多位数(7 segment digital tube dynamic display program)
  3. 所属分类:其他

« 1 23 4 5 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com