CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. sanjiaobo

    0下载:
  2. 三角波生成 和 正弦的 vhdl的语言编写-The preparation of the triangle wave generation and sinusoidal VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5599
    • 提供者:王旭良
  1. DDS

    0下载:
  2. DDS正弦波形发生器,VHDL语言描述!-DDS sine waveform generator, VHDL language descr iption!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:881248
    • 提供者:吴江波
  1. sinout

    0下载:
  2. VHDL的正弦信号发生器设计,功能大家都知道了!!就不用说了呀-VHDL design of the sinusoidal signal generator, function as we all know it! ! Needless to say it! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4025
    • 提供者:quanguoxiang
  1. waveform-signal-generator

    0下载:
  2. 基于FPGA的波形信号发生器的设计和实现,利用VHDL语言实现正弦波三角波等波形信号输出-The waveform signal generator based on FPGA design and implementation, using VHDL language to realize sine wave triangular wave and waveform signal output
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:2051905
    • 提供者:ocpuy
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. spwm_gen

    0下载:
  2. 正弦脉宽调制SPWM波的产生VHDL代码与相关IP核产生与说明,-Nuclear generation and descr iption of the sinusoidal pulse width modulation SPWM wave generated VHDL code and related IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:5600
    • 提供者:pearson
  1. LIA

    0下载:
  2. 该vhdl代码用两个rom模拟产生两路正弦波,并设计了一个乘法器将两路正弦波相乘。-The two vhdl code with two rom analog sine wave and design a multiplier to multiply two sine wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:689041
    • 提供者:haoboy
  1. DDSVHDLCODE

    0下载:
  2. 本人收集的多个VHDL语言编写的正弦波发生器以及SPWM程序。-I collected multiple VHDL language of sine wave generator SPWM program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:4635648
    • 提供者:
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. DDS

    0下载:
  2. FPGA,基于VHDL语言,用于ROM查找表的方式,实现DDS,能够输出正弦,方波,锯齿波,方波四种波形,可以改变幅值和频率。-DDS based on FPGA(VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:6305
    • 提供者:王芳
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. xinhao

    0下载:
  2. 简易信号发生器,可输出三种波形,递增锯齿波发生器模块,正弦波发生器模块,方波发生器模块,波形选择器模块,vhdl-Simple signal generator can output three waveforms, incremental sawtooth generator module, the sine wave generator module, a square wave generator module, waveform selector module, vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:60459
    • 提供者:沈微
  1. cos-fangshengqi

    0下载:
  2. 正弦波发生器的产生,让你有VHDL设计一个正弦波发生器-The generation of the sine wave generator, VHDL design of a sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:565291
    • 提供者:马金柱
  1. hanshufashengqi

    0下载:
  2. 设计一个函数发生器,用VHDL语言实现。可以实现正弦、余弦等多种函数的波形-Design a function generator using VHDL. You can achieve a variety of functions such as sine, cosine waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:4638740
    • 提供者:王婷
  1. dds

    0下载:
  2. FPGA中用VHDL语言实现的多种波形(正弦、余弦、三角、方波)调制。-modulation by FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:938175
    • 提供者:王臣
  1. EDAsin

    0下载:
  2. VHDL 语言编写的正弦信号发生器,教学所用-Sinusoidal signal generator VHDL language teaching
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:622
    • 提供者:乔玛丽
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. fpga-sin

    0下载:
  2. 基于VHDL语言的正弦波形信号合成,含有仿真测试文件-Sinusoidal waveform based on VHDL signal synthesis, simulation test file containing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:633431
    • 提供者:yang
  1. zhongji

    0下载:
  2. 基于vhdl的dds信号发生器程序,具有一致十k调频功能,输出32k及64k正弦波-Based on the dds signal generator vhdl program has a consistent ten k FM function, 32k and 64k sine wave output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2329063
    • 提供者:yjl
  1. FPGA_trainning2013A

    0下载:
  2. 在EDA实验课上面,自己编写的NCO程序,可以产生出比较真实的正弦波、三角波以及锯齿波,用VHDL程序编写,有modelsim仿真textbench程序-On EDA experiment, oneself write the NCO program, can produce more real sine wave, triangular wave and sawtooth wave with VHDL programming, have the modelsim simulation text
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:403650
    • 提供者:刘far
« 1 2 ... 6 7 8 9 10 1112 13 »
搜珍网 www.dssz.com