CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯控制

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. cabine

    0下载:
  2. 3层电梯的控制,利用vhdl写的。运行于maxplus-three-storey elevator control, the use of vhdl writes. Running maxplus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100923
    • 提供者:songxiaohu
  1. elevator

    0下载:
  2. 基于VHDL程序设计电梯的状态机.共六层的电梯有16个输入.其中包括5个上升,5个下降和六个电梯内的控制部分.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:333866
    • 提供者:范生德
  1. lift

    0下载:
  2. 用fpga控制电梯,实现五层电梯的升降控制,运用vhdl编辑程序.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:276212
    • 提供者:郭翠双
  1. lift

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序(绝对通,符详细注释)
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:8801
    • 提供者:qlz
  1. EDAdeisgn(2)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷2实例包括:多路彩灯控制器的设计与分析、智力抢器的设计与分析、微波炉控制器、数据采集控制系统、电梯控制器的设计与分析
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4951895
    • 提供者:shengm1
  1. diantiVHDL

    1下载:
  2. 本例是一个6层电梯的控制系统,VHDL原程序,状态机,控制器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162735
    • 提供者:liujingyang
  1. dianti.vhd

    0下载:
  2. 电梯控制器的VHDL源程序 很有代表性 经简单修改后可用于n层控制 -Lift Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5085
    • 提供者:jimmy
  1. dianti

    0下载:
  2. VHDL——三层电梯控制器设计(控制电梯按顾客的要求自动上下运行)-VHDL--Three layers of the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2927
    • 提供者:雇主
  1. 16DIANTIKONGZHI

    0下载:
  2. 16层电梯控制VHDL程序 内含各个模块的程序-16 floors of elevator control program includes modules in VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1942
    • 提供者:李灿
  1. FPGA27Instance

    0下载:
  2. LCD控制、FSK PSK等调制解调、出租车计价器、电梯控制、步进电机控制、自动售货机的VHDL程序-The control of LCD and ASK FSK make the demodulation, baseband code occurrence machine, frequency meter, electronic organ and electric lift control, automat and rent a car to account VHDL procedure c
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1276731
    • 提供者:于洪民
  1. Vhdl1

    0下载:
  2. 本课题要求设计一个电梯控制系统,传统的电梯控制系统仅仅要求实现对一栋电梯的控制,而本题要求设计一个实现两栋电梯联动的基于VHDL的电梯控制系统。我们设计的电梯控制器是控制电梯按顾客要求自动上下的装置。-The issue to design an elevator control system, the traditional elevator control system is only required to achieve an elevator control, and design a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3198
    • 提供者:沙俊
  1. sicengdianti

    0下载:
  2. 用VHDL语言编写的四层电梯控制器,可以实现四层电梯控制-Four-story elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:15007
    • 提供者:发芽的吉他
  1. Tdiaantikonggh

    0下载:
  2. 本设计是本人的课程设计,一种基于VHDL的电梯控制器的设计,能够实现12层电电梯控制,上下开关,关门延时,提前关门,状态显示出来,通过波形仿真进行观看结果 -The design is my curriculum design, based on VHDL design of the elevator controller to achieve the 12-layer electric elevator control, and switch up and down, closing de
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:69021
    • 提供者:一群舰队
  1. 4lifftzip-

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序源源码(绝对通,符详细注释) 可直接使用。 已通过测试。 -The four-story elevator controller (unidirectional layers of stop control) VHDL source code source code (through absolute character detailed notes) can be used directly. Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:8607
    • 提供者:
  1. Control-Lift

    0下载:
  2. 本程序是用来控制电梯的VHDL代码,没有通过具体调试,但是可以作为学习的参考。-This procedure is used to control the elevator VHDL code, no specific debugging, but can be used as a reference for learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:335006
    • 提供者:工程师
  1. electric-lift-controller

    0下载:
  2. VHDL编写的电梯控制系统。只有个大概框架程序-The elevator control system written in VHDL. Only a general framework program. ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:737486
    • 提供者:谢龙
  1. elevator_fpga

    0下载:
  2. 基于VHDL的FPGA设计,设计一个4层楼的电梯控制系统。-VHDL-based FPGA design, design elevator control system of a four-storey building.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:627815
    • 提供者:shiyan chen
  1. lab_ctrl

    0下载:
  2. 用VHDL语言编写的电梯控制系统程序,很值得学习的程序思路,注解详细-VHDL language with the elevator control system procedures, it is worth learning program ideas, comments in detail
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:164416
    • 提供者:houjunfeng
  1. diantikongzhi

    0下载:
  2. 用VHDL实现的电梯控制系统,仿真结果,实验总结已包含在文档中-The VHDL implementation of the elevator control system, simulation results, experimental summary has been included in the document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:120666
    • 提供者:张雨
« 1 2 34 »
搜珍网 www.dssz.com