CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 运算

搜索资源列表

  1. ALU_VHDL_code

    1下载:
  2. ALU逻辑运算单元计算器的VHDL源代码,已通过FGPA验证,绝对正确。-ALU ALU calculator VHDL source code has been verified by FGPA absolutely correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5208
    • 提供者:周州
  1. jiajianfaqi

    0下载:
  2. 利用VHDL语言设计的两位加减法器,设计采用BLOCK并行设计可以同时进行加法与减法运算-VHDL language design using addition and subtraction of two instruments used, designed using BLOCK parallel design can be done concurrently addition and subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:637
    • 提供者:庞潮
  1. vhdl

    0下载:
  2. 实现代码,A、B为输入、Y为输出,它们为8位向量。OE为输出使能,低电平有效。IE为输入锁存时能,上升沿有效。Ci为进位输入,Co为进位输出。 S0、S1、S2为运算逻辑选择输入: ,用vhdl语言编写,基于数字电路。-Implementation code, A, B input, Y the output, they are 8-bit vector. OE to output enable, active low. IE when the input latch, rising e
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:797
    • 提供者:youruo
  1. Calculator_altera

    0下载:
  2. 简易计算器,采用VHDL编写,能进行加减运算-Simple calculator, using VHDL writing, addition and subtraction operations can be carried out
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:438139
    • 提供者:chen
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. Cordic

    1下载:
  2. 用verilog写的Cordic运算模块,迭代次数为16次。-Cordic Module Writing With Verilog。
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:3260
    • 提供者:liubo
  1. fpu100_latest.tar

    1下载:
  2. 这是一个32位的浮点运算单元(FPU),它可以根据IEEE754标准被完全编译。此FPU已被硬件测试和被软件仿真通过。-This is a 32-bit floating point unit (FPU),It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard. The FPU was tested and simulated in h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-20
    • 文件大小:1981120
    • 提供者:赵恒
  1. jianpanjiekoups2

    0下载:
  2. 【原创】44矩阵键盘接口程序(VHDL)(2009-10-27 201747) 标签:矩阵键盘vhdl杂谈 初级版:支持输入三个十位数字组成的两个操作数加减与或比较运算,零占位不可省。 程序代码:-【Original】 44 matrix keyboard interface program (VHDL) (2009-10-27 201747) Tags: Matrix keyboard vhdl Zatan junior version: support the i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2840
    • 提供者:hooyu
  1. TheResearchoftherealtimesignalprocessingofSARbased

    0下载:
  2. 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA s development and debugging ar
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5156342
    • 提供者:mabeibei
  1. multiplier8x8

    1下载:
  2. 8位定点乘法器,支持有符号数/无符号数运算。采用4-2压缩树结构,并提供testbench。-It is an 8-bit fixed-point multiplier, supporting signed/unsigned operations. Wallance tree structure with 4-2 compression. Provides testbench.
  3. 所属分类:其他小程序

    • 发布日期:2013-05-20
    • 文件大小:2022
    • 提供者:superbear
  1. fir

    0下载:
  2. 是一个fir滤波器 其中使用了MAC单元去实现累加和乘法运算。-A fir filter which uses the MAC unit to achieve accumulation and multiplication.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:710
    • 提供者:张晓东
  1. CPU

    0下载:
  2. 包含CPU每部分器件的编写,通过改写RAM内容,可实现CPU简单运算的仿真-Some devices include the preparation of each CPU, RAM by rewriting the content, enabling easy operation simulation CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2151782
    • 提供者:Sophie
  1. cpu

    0下载:
  2. 包括1) 时钟发生器 2) 指令寄存器 3) 累加器 4) RISC CPU算术逻辑运算单元 5) 数据控制器 6) 状态控制器 7) 程序计数器 8) 地址多路器 -1) clock generator 2) instruction register 3) accumulator 4) RISC CPU arithmetic logical unit 5) of the data controller 6) state controller 7),
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:440449
    • 提供者:liuying
  1. FFt

    0下载:
  2. 基2FFT蝶形运算器,代码有仿真用数据。主代码用于仿真,碟形运算和复数乘法元件化-Based 2FFT butterfly, code with simulation data used. Master code for simulation, dish components of computing and complex multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2581
    • 提供者:treeyellow
  1. 2345676588FPGAxiebofenxi

    0下载:
  2. 本文给出一种基于FPGA的新型谐波检测系统的设计方案。在该方案中,采用FPGA实现快速的FFT运算,采用数字锁相环来同步被测信号,以减小由非同步采样所产生的误差并给出实现的设计实现。数字锁相环和FFT算法用VHDL语言设计实现,该方案能提高谐波分析的精度以及响应速度,同时大大地精简了硬件电路, 系统升级非常方便。-This paper presents a new FPGA-based harmonic detection system design. In the scheme, using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:18179
    • 提供者:何正亚
  1. butterfly1

    1下载:
  2. FFT蝶形运算单元程序,可用于OFDM,以及任何相关数字信号处理的设计中-FFT butterfly processor program can be used in OFDM, as well as any relevant design of digital signal processing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:700
    • 提供者:姚兴波
  1. FFT8

    0下载:
  2. FFT8,8点FFT运算,用verilog vhdl 语言编写,可以应用于64点FFT-FFT8, 8 点 FFT computation, using verilog vhdl language, can be applied to 64-point FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3444
    • 提供者:姚兴波
  1. cpu

    0下载:
  2. 8位CISC模型计算机设计,包括加减法存储输出的运算-8-bit CISC model of computer design, including the addition and subtraction operations stored output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:584420
    • 提供者:李萌
  1. VHDL

    0下载:
  2. VHDL键盘设计,可以实现键盘数字的现实以及数字的运算-VHDL keyboard design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3659493
    • 提供者:崔东
  1. VHDL-based-8-bit-multiplier

    0下载:
  2. 基于VHDL的8位乘法器运算程序,运用移位迭代法运算得出-VHDL-based 8-bit multiplier operation procedures, the use of shift operations derived iterative method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2997
    • 提供者:周益驰
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com