CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 键盘

搜索资源列表

  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. KEYBOARD2222

    0下载:
  2. vhdl的键盘小程序,键盘的模拟,可以用-vhdl procedures for the small keyboard, keyboard simulation can be used
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:175187
    • 提供者:md
  1. jianpansaomiao

    0下载:
  2. 键盘模块,基于VHDL的源码,用于做计算器以及其他小型模块的应用-keyboard module, based on VHDL source code, spent calculators and other small application module
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:45724
    • 提供者:jk
  1. Dial

    0下载:
  2. vhdl经典源代码——键盘接口设计,入门者必须掌握-vhdl classical source code -- the keyboard interface design, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:737810
    • 提供者:jeffery
  1. keyborad

    0下载:
  2. 一个8X8的矩阵键盘的VHDL文件,并且有长安键和短按键之分,即一共能做到128个键值,扫描用的时钟用1ms的就行了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1804
    • 提供者:张风
  1. elec_lock

    0下载:
  2. 本程序是用VHDL语言实现电子密码锁功能,整个系统分为三大模块,一为控制模块,二为键盘显示模块,三为处理模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:269398
    • 提供者:敬礼
  1. keyboad

    0下载:
  2. VHDL 的4*4键盘代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:985
    • 提供者:王攀
  1. ddsproject

    1下载:
  2. 用VHDL进行的dds系统设计,包括键盘输入和LCD显示,编译通过了
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:11617347
    • 提供者:居然
  1. test02

    0下载:
  2. 用quartusII编写的,基于vhdl语言的按键加法器,从0到11,也可通过拨码开关控制,从11到0,加入了键盘防手抖。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:205478
    • 提供者:zhg
  1. SAA7113

    0下载:
  2. 用quartusII编写的,基于vhdl语言的按键加法器,从0到11,也可通过拨码开关控制,从11到0,加入了键盘防手抖。-
  3. 所属分类:汇编语言

    • 发布日期:2014-01-16
    • 文件大小:1633575
    • 提供者:yl
  1. WatchdogTimer

    0下载:
  2. 用quartusII编写的,基于vhdl语言的按键加法器,从0到11,也可通过拨码开关控制,从11到0,加入了键盘防手抖。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-16
    • 文件大小:2482
    • 提供者:yl
  1. jzjp

    0下载:
  2. VHDL接口电路实用源程序,这个是键盘矩阵的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130893
    • 提供者:gjd
  1. keyBoard

    0下载:
  2. vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2626
    • 提供者:王贤
  1. __keyBoard

    0下载:
  2. vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2622
    • 提供者:王贤
  1. EXPT12_11_Ps2Key

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:34207
    • 提供者:多幅撒
  1. keyboard

    0下载:
  2. 矩阵键盘的vhdl编程,非常的实用,带有去抖动
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:298457
    • 提供者:zjc
  1. 4cheng4jianpanxianshi

    0下载:
  2. 4乘4键盘识别与显示程序和说明(vhdl) 设计了一个的4x4键盘识别与显示模块。小键盘中有0~f共16个按键,小键盘和数码管都连接到PLD芯片上。
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:88423
    • 提供者:coolrainy
  1. keyboardcontroller.tar

    0下载:
  2. 键盘控制电路IP核的VHDL语言源代码,需要的开发环境是QUARTUS II 6.0。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5264
    • 提供者:周华茂
  1. keyboardScan

    0下载:
  2. PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1386
    • 提供者:宁新
  1. vhdlkey7279

    0下载:
  2. cpld,环境是quartusii中vhdl语言开发7279读写键盘程序
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:609925
    • 提供者:夏杰
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »
搜珍网 www.dssz.com