CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl CLOCK

搜索资源列表

  1. trafficlight

    0下载:
  2. 本课程设计侧重于逻辑电路设计同时采用VHDL硬件描述语言辅助完成对十字路口交通灯的功能仿真。在设计过程中,重点探讨了交通灯控制系统的设计思路和功能模块的划分,对设计过程中出现的问题详细进行。系统主要由四个模块组成:时钟分频模块、交通灯的控制及计时模块、扫描显示译码模块。-This course is designed to focus on the logic design using VHDL hardware descr iption language at the same time as
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:346213
    • 提供者:黄颖
  1. shuzizhong

    0下载:
  2. 基于VHDL语言的数字钟,有元件例化,修改时钟功能 Quartus II平台-VHDL language based digital clock, there are component instantiation, modify clock function Quartus II platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4558252
    • 提供者:尜尜
  1. my_clock01

    0下载:
  2. 用VHDL语言实现电子钟功能,用不同模块按时分秒显示-To achieve the electronic clock function with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:7830
    • 提供者:赵海兵
  1. d_clock

    0下载:
  2. 基于QUARTUSII,电子时钟,可用,VHDL以及原理图。-Based QUARTUSII, electronic clock, available, VHDL and schematic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11431697
    • 提供者:barbossa
  1. JiShuQi

    0下载:
  2. 实现了一个秒表计数器,输入为2MHZ时钟,使用VHDL语言实现-It implements a stopwatch counter input 2MHZ clock, using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:302984
    • 提供者:黄予
  1. EDA

    0下载:
  2. EDA实验程序:60进制,数字钟 ,表决器 包括VHDL语言和图的连线-EDA experimental procedure: 60 binary, digital clock, voting Including connection VHDL language and graphs
  3. 所属分类:assembly language

    • 发布日期:2017-05-11
    • 文件大小:2282172
    • 提供者:李康
  1. cpld

    0下载:
  2. CPLD与电子CAD报告 VHDL中的并行语句、进程 信号、变量、顺序语句 分频器、计数器、译码器、状态机 数字钟综合设计-CPLD and VHDL electronic CAD report in parallel statement, the process signals, variables, sequential statements divider, counter, decoder, an integrated digital clock state machine des
  3. 所属分类:Communication

    • 发布日期:2017-05-15
    • 文件大小:3920331
    • 提供者:何源
  1. clk_div

    0下载:
  2. Clock divider in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:628
    • 提供者:victor
  1. digital-frequency-meter

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1. VHDL design and simulation comple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:45466
    • 提供者:项小娇
  1. 0714

    0下载:
  2. 这是一个简单的基于VHDL的初学者编写的功能丰富的电子钟.-This is a simple VHDL based program for beginners to write a rich electronic clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:578496
    • 提供者:zyn
  1. szz

    0下载:
  2. 基于CPLD的数字钟,用VHDL语言编写,数码管显示,可调时调分,具有整点报时功能。-CPLD-based digital clock, using VHDL language, the digital display, an adjustable transfer points, the whole point timekeeping function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:293455
    • 提供者:李襄
  1. VHDL_doc

    0下载:
  2. VHDL入门的程序,包括数码管显示,交通灯的实现,多功能数字钟,数字频率计等-VHDL entry procedures, including digital display, realize traffic lights, multifunction digital clock, digital frequency meter, etc.
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:155252
    • 提供者:钟绵
  1. RTC

    0下载:
  2. Implementation of Real Time Clock in VHDL coding. It can be implemented in XILINX305E FPGA kit.
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:1045
    • 提供者:VINOTH R
  1. FPGA

    0下载:
  2. 数字钟的VHDL语言程序,包含了好几个模块,是毕业设计的优秀程序,值得下载!-VHDL language program of digital clock, contains several modules, is an excellent program, graduation design is worth to download!
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:974118
    • 提供者:方宁
  1. Dchufaqi

    0下载:
  2. VHDL实现D触发器包括上升沿触发,下降沿触发,时钟触发-VHDL realize D flip-flop including rising along the trigger, falling edge trigger, triggered the clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:273690
    • 提供者:浩进
  1. Pulse-Generator-Final-Zip

    0下载:
  2. A VHDL pulse generator that generates customizable square wave pulses on an arbitrary number of channels. Controlled by UART communication through serial port. Tuned for 5ns period clock signal. The pulse width and delay of each channel is fully
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:17901
    • 提供者:Eugene
  1. Read_SPI_ADC

    0下载:
  2. This VHDL code takes a clock, reset, Capture_EN and SPI data LT2315 ADC and generates SPI_CLK and SPI_nCS of it and reads 12-bit serial data ADC and returns 12-bit parallel data.-This VHDL code takes a clock, reset, Capture_EN and SPI data LT2315 AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1393
    • 提供者:Hossein
  1. shiyan2

    0下载:
  2. 含异步清0和同步时钟使能的加法计数器的设计,可以从0加到99,使用VHDL语言-Cleared containing asynchronous and synchronous clock enable the addition of counter design, added to 99 can range 0, the use of VHDL language
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1424
    • 提供者:mike wong
  1. sycclk

    0下载:
  2. it s modul of clock in fpga vhdl where the cycle is 25 MHz enjoy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3223
    • 提供者:hicham hajoui
  1. yiweijicunqi

    0下载:
  2. 使用并置“&”法写出通用移位寄存器的VHDL模型。在时钟控制下将输入数据寄存,在满足输出条件时输出数据。-Use and set & method common shift register to write VHDL models. Under clock control the input data registers, the output data in the output condition is satisfied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:852937
    • 提供者:陈睿祺
« 1 2 ... 41 42 43 44 45 4647 48 »
搜珍网 www.dssz.com