CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl Signal generator

搜索资源列表

  1. FPGA-DDS-FM.rar

    2下载:
  2. DDS 调频信号发生器框图设计原理,有仿真测试结果,DDS signal generator FM Design Principle diagram
  3. 所属分类:Communication

    • 发布日期:2016-01-26
    • 文件大小:69719
    • 提供者:chenjiwei
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. CVBS

    0下载:
  2. CVBS,用于生成模拟视频信号,NTSC/PAL可选-CVBS Signal Generator,NTSC/PAL could be selected
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-08
    • 文件大小:7168
    • 提供者:张欣
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. sine

    0下载:
  2. Verlog语言描述的正弦信号发生器的源代码可以方便的实现长生正弦信号-Language Verlog sinusoidal signal generator described in the source code can easily achieve the longevity of the sinusoidal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:97445
    • 提供者:wuli
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
  1. interweave_1

    0下载:
  2. 用VHDL语言编写的实现交织编码和解交织功能的代码。交织采用按行写入,按列读出的方法实现。主要包括:信源信号产生(20位的m序列),交织器,解交织器。为实现流水线的操作,采用了两个交织器和两个解交织器,当一个写入数据的时候,另一个读出数据。-Implementation using VHDL language features Interleaved Coded deinterleave code. Intertwined with by line write, read out by colu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:37242
    • 提供者:李修函
  1. 200741691252

    1下载:
  2. dds源代码,vhdl程序,函数信号发生器。-dds source code, vhdl procedure, function signal generator.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:4859
    • 提供者:吴飞
  1. sanjiaobo

    0下载:
  2. 利用计数器来实现三角波的程序设计任务,用于信号发生器-Use counters to achieve the triangular wave of program design tasks, for the signal generator
  3. 所属分类:Algorithm

    • 发布日期:2017-03-29
    • 文件大小:16472
    • 提供者:小草
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. sin125

    0下载:
  2. 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:197270
    • 提供者:杜海明
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology, the basic principles are giv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:102056
    • 提供者:赵文
  1. FPGADDS

    0下载:
  2. 基于FPGA的DDS信号发生器的简单实现。DDS(直接数字合成)是近年来迅速发展起来的一种新的频率合成方法。这种方法简单可靠、控制方便,且具有很高的频率分辨率和转换速度,非常适合快速跳频通信的要求。 -FPGA-based signal generator DDS simple to achieve. DDS (direct digital synthesis) is a rapidly in recent years developed a new method of frequency sy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:9898
    • 提供者:洪利平
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1794
    • 提供者:sdfs
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. sin

    0下载:
  2. 正弦信号发生器源文件实现正弦信号发生器,非常有用,欢迎下载。-Sinusoidal signal generator source file achieve sinusoidal signal generator, very useful and welcome to download.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-07
    • 文件大小:640
    • 提供者:lee
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. sji

    0下载:
  2. 频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。直接数字频率合成(DDS——Digital Direct Frequency Synthesis)技术是一种全新的频率合成方法,是频率合成技术的一次革命。本文主要分析了DDS的基本原理及其输出频谱特点,并采用VHDL语言在FPGA上实现。对于DDS的输出频谱,一个较大的缺点是:输出杂散较大。针对这一缺点本文使用了两个方法
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:961592
    • 提供者:番薯军
  1. dds_good1

    0下载:
  2. 用VHDL 编写的 dds信号发生器,并通过试验箱验证正确,性能良好-Dds prepared using VHDL signal generator, and verify the correct chamber, good performance
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:449166
    • 提供者:xiaoqing
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com