CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl can

搜索资源列表

  1. he

    0下载:
  2. 利用VHDL实现判向计数器,并且在数码管上实现显示。可以在XILINX开发板上实现对应功能,仿真也能实现。(The use of VHDL realize the counter counter, and in the digital tube to achieve display. The corresponding function can be implemented on the XILINX development board, and the simulation can also
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-11
    • 文件大小:748313
    • 提供者:勿要归晚
  1. synth_fft

    0下载:
  2. FFT的VHDL全套代码,可以测试通过了,没问题 只管下载(FFT VHDL full set of code, you can pass the test, no problem, just download)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:62464
    • 提供者:XmindDB
  1. 10419729vhdl对数

    0下载:
  2. 进行对数运算的IP核,可以计算以2,10,e为底的对数,最高可输入24bit宽度的数据。 由AHDL语言写成,可在MaxplusII和QuartusII中使用,源代码加密。(The IP kernel that performs logarithmic operations can compute data at the base of 2, 10, and E, with the highest input 24bit width. Written in AHDL language, can
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:116736
    • 提供者:wove2006
  1. len_sv77

    0下载:
  2. By matlab code, Has been successful debugging. M contains files can be directly run, Filtering summation way broadband beamforming.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:5120
    • 提供者:wmmkkgi
  1. clock

    0下载:
  2. 数字钟可以实现整点响铃,预置数,十二小时24小时切换(Digital clock can achieve the whole point of the bell)
  3. 所属分类:其他

  1. ddr_sdram

    0下载:
  2. 包含ddr_sdr_conf_pkg.vhd,reset.vhd,ddr_dcm.vhd,user_if.vhd,ddr_sdram.vhd,Mt46v16m16.vhd以及仿真TB文件;设计采用Virtex ii系列芯片,DDR_SDRAM型号为Mt46v16m16,可用于进行DDR控制的初步学习使用;通过细致了解并进行逻辑控制,可深入理解DDR芯片内部构造; 支持133MHz系统时钟频率,突发长度为2,可进行读、写、NOP、激活、自刷新配置、预充电以及各ROW/BANK的激活改变等动作,较
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:20480
    • 提供者:唛侬
  1. mux21a

    0下载:
  2. 二选一,用于FPGA编程初学阶段,简单例子,使用时解压即可,Quartus II 9.0 (32-Bit)的应用(Two choose one, for FPGA programming beginner stage, a simple example, the use of decompression can be, Quartus II 9 (32-Bit) applications)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:147456
    • 提供者:star0402
  1. autoseller

    0下载:
  2. (1)可以输入硬币和纸币, 硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。可以连续多次投入钱币。 (2)可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。 即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。 (3)顾客选择完商品后,可以选择需要的数量。每次可以选择最多三个商品。然后显示出所需金额和已投币总币值。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。((
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:8380416
    • 提供者:johnnewer
  1. test_sd8_ychdj1280000

    0下载:
  2. fpga 开发,主要是针对nios核的一些开发,希望大家能相互的交流交流。(FPGA development, mainly for the development of some of the NIOS kernel, I hope we can exchange and exchange with each other)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-31
    • 文件大小:20871168
    • 提供者:nyl215
  1. VHDL实用教程

    0下载:
  2. VHDL语言开发例程,可以直接使用的测试源代码(VHDL language development routines, you can directly use the test source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-30
    • 文件大小:6425600
    • 提供者:孙浩1
  1. de2_build

    0下载:
  2. De2_build: It contains the FPGA configuration file of the comprehensive Nios II system in Section 16.10.2 and software image files for the DE2 board. These files can be used for quick demo or software development. Note that the files can only be us
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1405952
    • 提供者:davido
  1. quartuswork

    0下载:
  2. vhdl入门实例,一位全加器和一位半加器的quartus9.1程序,可直接运行(VHDL entry examples, a full adder and a half adder quartus9.1 program, can be run directly)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:2632704
    • 提供者:芮芊
  1. fen

    0下载:
  2. 分频器,可以实现时钟分频,频率变小则周期变长(Frequency divider, can realize clock frequency division, frequency becomes smaller, then the cycle becomes longer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:140288
    • 提供者:佳12345
  1. CPU_16bit

    0下载:
  2. 一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:3410944
    • 提供者:sunrihui
  1. Double_Pulse_Test

    1下载:
  2. 利用VHDL语言描述出一个双脉冲,可任意设置两脉冲长和中间时间间隔。(A double pulse is described in VHDL language, and the two pulse length and the intermediate time interval can be arbitrarily set.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:8320000
    • 提供者:张子铭
  1. Quartusii11_13192

    0下载:
  2. 简单的一个硬件仿真语言,VHDL的quarter2的一个免费版软件,可以免费使用30天。(A simple hardware simulation language, a free version of VHDL's quarter2 software, can be used free for 30 days.)
  3. 所属分类:软件设计/软件工程

    • 发布日期:2018-01-03
    • 文件大小:12288
    • 提供者:NOTO
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. LED_Display_Design_595Breathe

    1下载:
  2. LED呼吸灯,可更改LED灯位数,呼吸频率,等参数。(LED breathing lamp, can change the number of LED lights, respiratory rate, and other parameters.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:162816
    • 提供者:piao-bht
  1. uart_test

    0下载:
  2. 通过FPGA,实现串口传输数据,并且可以支持多种不同的波特率,用EP4CE22F17芯片实现。(Through the FPGA, serial transmission data, and can support a variety of baud rates, using EP4CE22F17 chip implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:y1a2n3g4
  1. spi_slave_test

    0下载:
  2. 实现spi协议的从机代码,亲测可用。按照字节接收,发送可以实现一次发送19字节,可按照需要更改。(The implementation of the code of the SPI slave protocol is available. By byte received, sending can be sent to send 19 bytes at a time, which can be changed as needed.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1008640
    • 提供者:fantastic_guy
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com