CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl cpu 16

搜索资源列表

  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128112
    • 提供者:晶晶
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. CPU

    0下载:
  2. 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1489655
    • 提供者:kilva
  1. CPU设计

    0下载:
  2. 用VHDL设计的一个16为CPU,内有开发文档以及源代码
  3. 所属分类:VHDL编程

  1. cpu2

    0下载:
  2. 另一个简单的16位VHDL的CPU程序~~~包含简单的加减乘除移位等操作,适用于课程设计-Another simple VHDL' s CPU 16-bit program ~ ~ ~ contains simple calculation shift and other operations for course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1862685
    • 提供者:liuying
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. cpu16

    0下载:
  2. Verilog下描述16位CPU,虽然有点简单,但具有一定的可读性,内附夏宇闻老师的8位CPU文档-Verilog descr iption of 16-bit CPU, though a bit simple, but with a certain degree of readability, XIA Yu-Wen teachers containing 8-bit CPU Documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:231274
    • 提供者:张文龙
  1. micro

    0下载:
  2. 16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:30930
    • 提供者:mojo
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. CPU16

    0下载:
  2. 自己用VHDL写的16位的CPU,在学校的课程上通过了测试。-Own use VHDL to write a 16-bit CPU, in school curriculum passed the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1183688
    • 提供者:Hui
  1. 5744114893829

    0下载:
  2. 用VHDL实现16位的简单CPU。具有加减乘除等功能-vhdl cpu can do add sub and so on
  3. 所属分类:assembly language

    • 发布日期:2017-05-10
    • 文件大小:2227551
    • 提供者:罗小家
  1. cpu01

    0下载:
  2. cpu 16 bit fpga vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:423385
    • 提供者:sdy
  1. c16_latest.tar

    0下载:
  2. c16 ucore. this a 16 VHDL cpu core. complete with Assembler and C compiler. All src code included.-c16 ucore. this a 16 VHDL cpu core. complete with Assembler and C compiler. All src code included.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1711576
    • 提供者:vtaranti
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. cpu

    0下载:
  2. 16位的5级流水线cpu 采用vhdl代码 modelsim编译仿真-5-stage pipeline 16-bit cpu compiled simulation using modelsim vhdl code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:4995
    • 提供者:sean
  1. simple-16-bitvhdl-cpu

    0下载:
  2. central processing unit for processor using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3312
    • 提供者:pradeep
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. Micro16-30sep03

    0下载:
  2. Micro16 - 一个简单的 16 位 VHDL CPU 核源代码-Micro16- A Simple 16 bit VHDL CPU source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27288
    • 提供者:阿斯顿
  1. CPU_16bit

    0下载:
  2. 一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:3410944
    • 提供者:sunrihui
  1. lu

    0下载:
  2. 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-07
    • 文件大小:817152
    • 提供者:nodgd
« 1 23 »
搜珍网 www.dssz.com