CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl digital clock

搜索资源列表

  1. clock

    0下载:
  2. 电子课程设计数字钟的源代码,已在试验箱上实现,定义了管脚。可以调整时间-E-curriculum design digital clock source code has been achieved in the chamber, the definition of a pin. Can adjust the time
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:502392
    • 提供者:龙龙
  1. clock

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ModelSim SE 6.0 1. 多功能数字钟-Software development environment: ISE 7.1i simulation environment: ModelSim SE 6.0 1. Multi-function digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1161
    • 提供者:许毅民
  1. clock

    0下载:
  2. 数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体震荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表,已成为人们日常生活中必不可少的必需品。-Digital Clock is a digital circuit implementation, " when" , " sub" , " second" The figures show that the timing device. Digita
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:339081
    • 提供者:庄青青
  1. clock

    0下载:
  2. 用VHDL开发的数字钟资料 完整的实验代码-Developed using VHDL digital clock Experimental data integrity code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-12
    • 文件大小:2682419
    • 提供者:melody
  1. clock

    0下载:
  2. 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clo
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:681184
    • 提供者:cindy
  1. vhdl-clock

    0下载:
  2. 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:106578
    • 提供者:li
  1. digital_clock_design

    0下载:
  2. 利用VHDL语言,逻辑器件设计CPLD,实现数字钟-Using VHDL language, design of logic devices CPLD, digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:634998
    • 提供者:fei
  1. clock

    0下载:
  2. 一个简单那的数字电子钟 VHDL的,很简单,适合刚入门的新手练习-It' s a simple VHDL digital electronic clock, simply put, the new entry just for practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1028526
    • 提供者:假老练
  1. digital_seven_segment_clock

    0下载:
  2. digital seven segment clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1889
    • 提供者:Harry Sunaryo
  1. clock

    0下载:
  2. 基于vhdl的数字钟,分别由6个数码管显示24小时、60分钟、60秒的计数显示;设有校时、校分、秒清零校正功能,分别由3个按键控制;验证可用。-On vhdl digital clock, respectively, by 6 digital tube display 24 hours, 60 minutes, 60 seconds of the count display with school hours, school hours, seconds, cleared correction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267348
    • 提供者:ly
  1. digital

    0下载:
  2. 多功能数字钟的VHDL源代码。多功能数字钟具有的功能:显示时-分-秒、整点报时、小时和分钟可调等基本功能。钟表的工作是在1Hz信号的作用下进行,每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时。-Multifunction digital clock VHDL source code. Multi-function digital clock with functions: display- minutes- seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1615
    • 提供者:王唐小菲
  1. clock

    0下载:
  2. 数字钟VHDL源程序,有仿真图,源代码-VHDL digital clock source, there are simulation plans, source code, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1340485
    • 提供者:deng wensi
  1. clock

    0下载:
  2. 用vhdl做的数字时钟,里面含有很详细的报告哦!-Vhdl do with digital clock, which contains a very detailed report on the Oh!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3516585
    • 提供者:deng
  1. CLOCK-ON-ALTERA-DEV-NOARD-RONTEX

    1下载:
  2. 这是我上电子线路设计课程时自己写的数字钟设计的整个工程.网上下载安装quartus II软件后双击clock.sof打开调试.若软件说没有权限,请删除db文件夹后再试. 文件夹中附带我的实验报告,其中详细讲解了我的设计思路\软件架构\可能出现的问题等等. 调试步骤就不讲了,管脚分配请网友自行完成. 开发板 Altera Cyclone II EP2C35F672C6 软件平台 Quartus II 语言 verilogHDL-These are all the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:995738
    • 提供者:needtobestrong
  1. vhdl-digital-clock

    0下载:
  2. 数字电子钟VHDL 能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能 具有复位功能 功能扩展:具有复位、整点报时提示、定时闹钟等功能 方案: 对数字电子钟的功能进行分析,确定出所需要的各个模块,再通过顶层文件的设计,把各个模块例化综合起来,形成一个完整的电子钟。 -VHDL to implement digital electronic clock hours (24 hex), minutes and seconds (60 decimal) of th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:77494
    • 提供者:陈添
  1. clock

    0下载:
  2. 在FPGA下用VHDL语言设计的数字钟程序-Under the FPGA design using VHDL, digital clock program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:431695
    • 提供者:钟辉泉
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。-Design with VHDL, digital clock, to achieve in the digital display minutes and seconds,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2131
    • 提供者:
  1. design-digital-clock-using-vhdl

    0下载:
  2. digital clock code document using vhdl code. have to test it once.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3676
    • 提供者:navvi
  1. digital-clock-based-on-FPGA

    0下载:
  2. 基于FPGA的数字钟设计,编程语言是VHDL,编程环境是Quartus-digital clock based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3674231
    • 提供者:lei
  1. digital-clock

    0下载:
  2. VHDL语言的数字时钟的设计,用于FPGA的数字时钟的设计。-VHDL language digital clock design, FPGA for digital clock design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1035108
    • 提供者:
« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com