CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl hour

搜索资源列表

  1. CNT_24

    0下载:
  2. 用vhdl实现24小时计数器,方法简单实用。 仿真环境MAXPLUS--use VHDL to achieve 24-hour counter, simple and practical method. Simulation environment Segments-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48512
    • 提供者:dm
  1. MyClockTest

    0下载:
  2. 这是我电子线路测试的作业,在FPGA板上实现数字钟,(Max2环境)采用VHDL语言编写,非常适合初学者。具备24小时计时,校时,低高音整点报时,定时和多重功能选择的功能。-This is my test of electronic circuits operating at the FPGA board digital clock (Max2 Environment) using VHDL language, very suitable for beginners. 24-hour time,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:507269
    • 提供者:blacksun
  1. myclock

    0下载:
  2. 用VHDL语言实现一个能显示时、分、秒的时钟:可分别进行时和分的手动校正;12小时、24小时计时制可选,12小时制时有上下午指示;当计时到预定时间(此时间可手动设置)时,扬声器发出闹铃信号,闹铃时间为10秒,可提前终止闹铃。-VHDL language used to achieve a display hours, minutes and seconds of the clock: when can be manually corrected and points 12 hours, opt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:383190
    • 提供者:旭东
  1. anjian

    0下载:
  2. 最基本的vhdl程序,能实现一小时的计时,且加入按键功能-Vhdl basic procedures, to achieve a one-hour time, and by adding key features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:312708
    • 提供者:jayi
  1. shizhong4

    0下载:
  2. 用VHDL语言设计的24小时计数器,并在数码管上显示-VHDL language design with 24-hour counters, and digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:50306
    • 提供者:彭红
  1. clock

    0下载:
  2. 有时分秒显示,定时功能,24小时12小时转换的时钟vhdl编写-Sometimes, minutes and seconds display, timing function, a 24-hour clock 12-hour conversion write vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:426545
    • 提供者:deyi
  1. VHDL_clock

    0下载:
  2. VHDL数字钟设计程序 设计要求 基本要求: 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响);--VHDL design process digital clock design basic requirements: 1.24 hours count display 2, when a school function (hour, minute) additional requirements: 1, to achieve alarm (
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:71632
    • 提供者:苹果熊
  1. clock

    0下载:
  2. 用VHDL编写的电子钟,可以显示时间,调节时,分,秒;有整点报时功能。-Prepared using VHDL clock can display time, adjust hours, minutes, seconds a whole hour.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:22590
    • 提供者:
  1. shuziluji

    1下载:
  2. 纯VHDL文件 拥有闹铃 整点报时 日历 使用方法(打开文件shizhong.gdf文件编译即可(本人使用maxplus-Pure VHDL files have calendar alarm whole hour to use (you can open the file shizhong.gdf file compilation (I use maxplus))
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-18
    • 文件大小:590641
    • 提供者:虫子
  1. VHDLDigitalClock

    0下载:
  2. 数字钟的VHDL语言实现基本功能,包括 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响); -Digital clock in the VHDL language for basic functions, including 1,24-hour count display 2, when a school function (hour, minute) additional requirements: 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1465
    • 提供者:xiezunzhong
  1. yt7132_clock

    1下载:
  2. 用VHDL语言编写的12/24小时时钟,利用EDA系统软件QuartusII环境下基于FPGA/CPLD的数字系统设计方法-VHDL language with the 12/24 hour clock, the use of EDA software QuartusII environment based on FPGA/CPLD design of digital system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2105880
    • 提供者:Cherry
  1. Displayer

    0下载:
  2. VHDL编写的针对八段数码管的显示译码电路。实现动态扫描输出小时、分钟和秒。是基于CPLD开发板设计的一个数字钟的一部分。-Programmed with VHDL.The decoding and displaying circuit for 8-segments displayer.It outputs the data of hour,minute and second in order with dynamic scaning method.It is one of my total 9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:150906
    • 提供者:chzhsen
  1. FlashTime

    0下载:
  2. 用VHDL编写。称为校时闪烁电路。一般的电子表在校时时都会使被校正的时间不停地闪烁。此模块实现了类似的功能。与我的其它8个模块配套构成一个数字钟。 -Programmed with VHDL. It is called a flashing circuit(when time is being revised).Generally, a digital watch will flash the currently revised time(for example,hour) to let t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:143612
    • 提供者:chzhsen
  1. RvsTime

    0下载:
  2. 用VHDL编写。数字钟校时电路,根据表示是否校时的输入引脚、是校正小时还是校正分钟的输入引脚决定校正状态。接受一个按钮的脉冲输入,每输入一个脉冲,被校正的时间增加1.与我的其它8个模块配套构成一个数字钟。-Programmed with VHDL.The time-revising circuit of a digital clock. Detect the inputs and decide if revise time, hour or minute. It recepts an impul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:118501
    • 提供者:chzhsen
  1. DC

    0下载:
  2. 基于VHDL的数字时钟,包含设置时间,闹钟,以及整点报时的功能。设置时间和闹钟时数码管闪烁。-Based on VHDL digital clocks, including setting time, alarm clock, and the function of the time on the hour. Set a time when the alarm clock and digital tube twinkle.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1265750
    • 提供者:miffy
  1. lcd_clock

    0下载:
  2. 用VHDL编程实现在LCD上显示北京时间 可以自动调节时间 同时具有整点报时功能-Beijing can be adjusted automatically one time, a whole hour with VHDL programming on the LCD display
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1083724
    • 提供者:Individual
  1. shuzizhong

    0下载:
  2. 使用vhdl语言设计电子钟。具有时、分、秒计数功能,且以24小时循环计时。计时结果要用6个数码管分别显示时、分、秒的十位和个位。具有清零功能。 -Use vhdl languages ​ ​ designed electronic clock. Has hours, minutes, seconds count and a 24-hour cycle timing. The timing results use six digital tube display hours
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:322721
    • 提供者:陈小龙
  1. alarm

    0下载:
  2. VHDL,多功能数字钟:具有年、月、日、时、分、秒计数显示功能,以24小时循环计数;具有整点报时功能;可以对年、月、日、时、分及秒进行单独校对,使其校正到标准时间-VHDL, multifunction digital clock: a year, month, day, hour, minute, seconds count display features a 24-hour cycle The whole point timekeeping function possible for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:589557
    • 提供者:yaonan
  1. clock____!

    0下载:
  2. The project is designed with the hour hand and the minute and the second time in the ISE software language. Vhdl written.
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1769025
    • 提供者:Atefeh
  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
« 1 23 »
搜珍网 www.dssz.com