CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. VHDL-LED

    0下载:
  2. 设计一个带计数使能、异步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示-Design a counter with enable and asynchronous reset, brought by a six-bit output of the binary counter, counting the results from the common cathode seven segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:59172
    • 提供者:ds18b20
  1. vhdl-code-for-led-matrix

    0下载:
  2. vhdl code for the LED matrix
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:8949
    • 提供者:keerthi
  1. vhdl

    0下载:
  2. VHDL实验 7段数码管译码器设计与实现-VHDL experiments 7-segment LED decoder design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2697
    • 提供者:天行者
  1. led

    0下载:
  2. 在ISE环境下VHDL语言编写两个小灯交替亮。-In the ISE environment VHDL language two small light alternating light.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:50626560
    • 提供者:陈拓
  1. Led-Display-

    0下载:
  2. 基于VHDL语言实现的七段数码显示译码器设计及其仿真-Based on VHDL language implementation of these seven digital display decoder design and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:36905
    • 提供者:刘海
  1. LED-DISPLAY

    0下载:
  2. VHDL语言实现数码管的不同亮暗要求,组成时变的图案-vhdl Light Emitting Diode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:36316
    • 提供者:龙泽辉
  1. LSLED

    0下载:
  2. 运用VHDL语言实现流水灯的完整程序设计-VHDL LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1743940
    • 提供者:周慧
  1. 04-led

    0下载:
  2. 这是一个成功的控制LED显示的VHDL和Verilog源代码,已在DH-33001开发板上调试成功。-This is a successful control LED display of VHDL and Verilog source code, in the DH-33 001 development board debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:34029
    • 提供者:hjs
  1. led

    0下载:
  2. 多功能彩灯控制器,VHDL语言编写。调试通过正常。-The multi-function lantern controller, VHDL language. Debugging through the normal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:843
    • 提供者:张文广
  1. LED-FPGA

    0下载:
  2. LED test I/O function,use vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2134256
    • 提供者:宝堂
  1. led

    0下载:
  2. 用VHDL编程点亮发光二极管,并实现二极管循环点亮的功能 -Light emitting diode, light diode loop using VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:604
    • 提供者:李天奔
  1. dotmatrix_8x16-VHDL

    0下载:
  2. Drive a 8x16 Dotmatrix LED Display By CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:891
    • 提供者:rahmani
  1. Seven-Segment-LED-Decoder

    0下载:
  2. 简单的七段数码管译码器vhdl程序,比较基础,适合初学者练习使用-Simple seven-segment decoder vhdl program basis for comparison, for beginners to use.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-28
    • 文件大小:297579
    • 提供者:dongxia
  1. LED

    0下载:
  2. VHDL语言编写的LED点阵程序,自己已经调试通过。-LED dot matrix VHDL language program, they have to debug through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:271552
    • 提供者:吴达
  1. PWM(VHDL)

    0下载:
  2. --学习PWM的原理 --控制脉冲宽度,来调节LED的亮暗-- Learn the principle of PWM- control the pulse width, to adjust the LED light and dark
  3. 所属分类:assembly language

    • 发布日期:2017-11-27
    • 文件大小:463760
    • 提供者:饕餮小宇
  1. LED

    0下载:
  2. FPGA VHDL实现 点亮LED灯 VHDL实现-FPGA VHDL lit LED lights VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:207353
    • 提供者:李冰
  1. shfrtled

    0下载:
  2. 使用状态机思想实现VHDL LED跑马灯功能-Thinking of using the state machine VHDL LED Marquee function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:629740
    • 提供者:王佳骏
  1. seven-segment-LED-display

    0下载:
  2. VHDL实验:七段LED显示电路设计。VHDL语言编写-VHDL experiment: seven-segment LED display circuit design. VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:178160
    • 提供者:奚传立
  1. led-12864-VHDL

    0下载:
  2. 使用VHDL编写led12864,整个项目文件,可直接使用 -Prepared to use VHDL led12864, the entire project file, and can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1665707
    • 提供者:苏旸
  1. LED-xianshi---yimaqi

    0下载:
  2. 7段LED显示译码器的设计 采用文本输入设计方法,通过编写VHDL语言程序,完成7段LED显示译码器的设计并进行时序仿真。 2、 设计完成后生成一个元件,以供更高层次的设计调用。 -7-segment LED display decoder design using text input design method, by writing VHDL language program, complete the seven-segment LED display decoder desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:54166
    • 提供者:杨帆
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 23 »
搜珍网 www.dssz.com