CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. vhdlshiyan

    0下载:
  2. 本文为采用VHDL编写的程序及报告。步骤如下:1设计三位二进制计数器程序 二:设计一驱动循环显示7位数字 2编写LED控制程序如下: 3设计采用原理图方式如下: -VHDL paper prepared for the introduction of procedures and reports. Steps are as follows : Design of a binary counter three two procedures : Design of a drive
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-15
    • 文件大小:296936
    • 提供者:梁兵
  1. SCAN8_DIG

    0下载:
  2. 8点阵列LED显示屏的 VHDL扫描程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1613
    • 提供者:ww
  1. clock

    1下载:
  2. 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:247074
    • 提供者:xiaoshuai
  1. ghj

    0下载:
  2. 从存储器读取数据扫描led显示屏的vhdl程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4062718
    • 提供者:sq
  1. LED_CONTRAL

    0下载:
  2. 基于FPGA的驱动LED静态显示的VHDL实现
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:5384
    • 提供者:
  1. clock

    0下载:
  2. 用vhdl开发的up3 clock,可以在up3的led上显示24小时制时分秒
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:14942
    • 提供者:李瑶
  1. LED_control_VHDL

    0下载:
  2. 介绍了用VHDL语言编写的程序控制LED 程序简介清晰 还附有仿真波形图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5381
    • 提供者:铁松
  1. cpld

    0下载:
  2. 这是个的VHDL点亮LED的程序,大家一齐分享吧
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:297879
    • 提供者:ljd20045002
  1. 7duanEN

    0下载:
  2. 7段LED显示VHDL描述,本人测试完全可以用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:81232
    • 提供者:li
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. e4

    0下载:
  2. 7段数码管译码器,用VHDL在FPGA2000上显示-7 LED decoder with VHDL shown in FPGA2000
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:224262
    • 提供者:evelyn
  1. VHDL8

    0下载:
  2. 一个VHDL拨码开关以及数码管显示的例程,让你更好的明白VHDL查表法的方便,从而减少逻辑单元的使用。-A VHDL DIP switches and digital LED display routine, so you better understand the convenience of VHDL look-up table, thereby reducing the use of logic cells.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2099
    • 提供者:wyb
  1. main

    0下载:
  2. 一位LED显示的VHDL程序,挺简单的,买的开发板里面带的-An LED display of the VHDL program, quite simply, to buy development board inside the zone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:607
    • 提供者:liuzhe
  1. LED_0000_9999

    1下载:
  2. 7段数码管动态显示0000-9999,vhdl语言-7-segment LED dynamic display of 0000-9999, the VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1445
    • 提供者:黄鹏
  1. DEMO_V

    0下载:
  2. 黑金FPGA开发板(学生)测试程序 VHDL语言 包括led 按键 串口 lcd的检测-Black Gold FPGA development board (student) test procedures VHDL language, including the detection of serial lcd led key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:125811
    • 提供者:zhang
  1. led778

    0下载:
  2. 用vhdl语言控制七段显示管和八个LED灯的程序,并通过下载验证。-VHDL language used to control Seven-Segment display tube and eight LED lights procedures and verification by downloading.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:67892
    • 提供者:wuyub
  1. lift

    0下载:
  2. VHDL driver of lift in building. Result is presents on LED segments[decimal value].
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:911
    • 提供者:Gooreck
  1. LEDdecoder

    0下载:
  2. 基于vhdl的Led七段数码显示的设计。-Led the team respectively VHDL-based digital display design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:688
    • 提供者:tony
  1. FPGA-drivenLEDdisplay

    0下载:
  2. FPGA驱动LED显示:运用硬件描述语言(如VHDL)设计一个显示译码驱动器,即将要显示的字符译成8段码。由于FPGA有相当多的引脚端资源,如果显示的位数N较少,可以直接使用静态显示方式,即将每一个数码管都分别连接到不同的8个引脚线上,共需要8×N条引脚线控制.-FPGA-driven LED display: the use of hardware descr iption languages (such as VHDL) design a display decoder driver, ab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:王娟
  1. LEDVHDL

    0下载:
  2. LED控制VHDL程序与仿真,有源程序和仿真图,希望对大家有用-VHDL program LED control and simulation, there is source code and simulation map, useful for all of us hope
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5319
    • 提供者:
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 23 »
搜珍网 www.dssz.com