CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. EDAtrafficlightdesign

    0下载:
  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-EDAtrfficlight desig
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:58144
    • 提供者:jimmy
  1. cpld

    0下载:
  2. CPLD VHDL 数码管程序 流水灯程序 时钟程序 -CPLD VHDL program LED lights water clock procedures procedures CPLD VHDL program LED lights process water clock procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:476641
    • 提供者:朱工
  1. trafficcontrol

    0下载:
  2. 基于有限状态机的VHDL交通灯程序, 其中包括两种不同的思路;设计两种控制器 读者还可以根据实际应用更改设计,十分方便。-jtd vhdl traffic time control and led display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:7288
    • 提供者:lulin
  1. Lab1

    0下载:
  2. My first project written in Quartus II by using VHDL, executed some tasks that display word on 7-segments LED through the simulated 5-to-1 multiplexer. My code is easy to acquire and may be help usefull.
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:450841
    • 提供者:Leon Squall
  1. decl7s

    0下载:
  2. 共阴极七段数码管的译码程序,用VHDL程序编写-Seven-Segment LED common cathode of the decoding process, and VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:40256
    • 提供者:田怡
  1. module

    0下载:
  2. 基于VHDL语言,设计7段LED液晶显示屏,可以下载到相关的xilinx开发板上进行验证-Based on the VHDL language, design 7 LED LCD screen, can be downloaded to the relevant board to verify the development of xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:31422
    • 提供者:李超
  1. 7

    0下载:
  2. vhdl七段数码管显示程序,上机实验过,完全正确-Seven-Segment LED display vhdl procedure on the experimental machine, and absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4011
    • 提供者:weimin
  1. db0358fc-1f16-4f07-9f0f-defb77998bb1

    0下载:
  2. fpga实现简单的计数器功能,用vhdl写的,有一个LED-fpga simple counter function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:580259
    • 提供者:zx
  1. LED_vhdl

    0下载:
  2. LED控制VHDL程序与仿真,FPGA驱动LED静态显示-led vhdl driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5736
    • 提供者:jz
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. full

    0下载:
  2. 利用VHDL程式及繪圖燒錄電路而達到按下按鈕能使LED自動變亮或變暗-Graphics programs and the use of VHDL circuit burner can press the button to achieve the LED light or darken automatically change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:416982
    • 提供者:黃嘉偉
  1. hexc_display

    0下载:
  2. 数码管显示的VHDL程序,自己做实验调出来的-LED display of the VHDL program, tune out their own experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:126199
    • 提供者:周宇
  1. decorder

    0下载:
  2. FPGA驱动LED静态显示,VHDL实现的源码-FPGA-driven LED static display, VHDL source code to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5106
    • 提供者:刘新
  1. matriled

    0下载:
  2. led显示器应用相当广泛,数码管的应用也很广泛,本设计就led驱动,数码管驱动进行了设计,设计中采用VHDL语言,在FPGA上实现了功能方真,在开发板上实现功能。-led driver, led scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:593
    • 提供者:microeric
  1. VHDL_8X8ledaaa

    0下载:
  2. 一个led8*8的vhdl程序 多余平时有兴趣玩玩led的朋友有小小的帮助-1 led8* 8 of the vhdl procedure superfluous in peacetime are interested in play led to a little help from friends
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:17605
    • 提供者:邓忠飞
  1. led7drv

    0下载:
  2. 7段LED驱动器的VHDL语言程序设计源码-7 segment LED driver source VHDL Language Program Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:582
    • 提供者:lalo
  1. ledclock

    0下载:
  2. LED电子时钟控制器的VHDL语言程序设计-LED electronic clock controller VHDL Language Program Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:932
    • 提供者:lalo
  1. led_dynamic_scan

    0下载:
  2. led小灯动态扫描,用VHDL编写的 -led dynamic scan
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:29152
    • 提供者:abc
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. dongtaisaomiao

    0下载:
  2. VHDL实现led灯的动态扫描,主要对CLK进行分频-VHDL realization led lamp dynamic scan, the main points of the CLK to the frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1422
    • 提供者:李啸宇
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 23 »
搜珍网 www.dssz.com