CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 三角波

搜索资源列表

  1. Triangle

    0下载:
  2. vhdl 实现三角波输出,分辨率可调,与比较器连用可以实现PWM输出-VHDL generic Triangle,ENTITY Triangle IS port( rst : in std_logic clk : in std_logic tri_data:out std_logic_vector(7 downto 0) ) end Triangle
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:587
    • 提供者:zpf
  1. dac0832

    0下载:
  2. 基于DAC0832的波形发生器(输出三角波) -C51源代码和硬件电路图-DAC0832 based waveform generator
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:54614
    • 提供者:陈启武
  1. FPGA-PWM-Quartus

    0下载:
  2. 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1049621
    • 提供者:叶东林
  1. myproj

    0下载:
  2. 1) 可以产生四种波形:正弦波,方波,三角波,锯齿波。 2) 实现分频可调,分频比从2~256可调,通过两个按键进行+1和-1的调整。 3) 信号幅度可调,幅度增益从1~4倍可调,过两个按键进行+1和-1的调整。 4) 8位数码管的前3位显示分频比,最后一位显示幅度增益,中间的四位分别代表四种波形是否输出,若输出则显示’1’,否则显示’0’。 5) 可实现四种波形的叠加,当有两种波形叠加时,增益不能超过3,当是四种或三种波形叠加时,增益只能为1. -1) can produc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:170872
    • 提供者:陈伟豪
  1. 122

    0下载:
  2. 实现函数波形输出的proteus仿真图,可实现锯齿波,三角波,方波输出-The realization of Proteus simulation map function waveform output
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:19633
    • 提供者:lili
  1. project_wave_gen_code

    0下载:
  2. 设计并实现一个可产生正弦波、三角波和锯齿波的波形发生器。其工作频率为60MHz,可产生1MHz、2MHz、3MHz、4MHz、5MHz、6MHz、10MHz的正弦波、三角波和锯齿波。所产生波形的幅度、相位均可调整,输出数据的字长为12比特。应用环境为quartus 2-Design and implement a can produce sine, triangle, and sawtooth waveform generator. The operating frequency of 60MH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1119565
    • 提供者:辛永超
  1. FPGA-I_LOOP

    0下载:
  2. 本程序是三角波产生程序,很实用,是进行PWM拨软件实现的关键软件之一-This procedure is a triangular wave generated procedures, it is practical, is one of the key software PWM to dial the software implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:220430
    • 提供者:pjw
  1. shiyan

    0下载:
  2. 实现对89c51rd单片机的控制,led流水灯控制,lcd显示,矩形键盘控制,数据串口发送和接收,以及产生三角波等波形。-89c51rd achieve control of the microcontroller, led light water control, lcd display, rectangular keyboard control, serial port to send and receive data, and generating a triangular wave wa
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:91050
    • 提供者:lorsa
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. waveform_generator

    0下载:
  2. 波形发生器能自由的切换 三角波 矩形波,正弦波,锯齿波。-Waveform Generator
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:27394
    • 提供者:巅峰
  1. exa010305

    0下载:
  2. 说明 幅度为三角波的幅度调制信号在长度变化前后,信号的时间中心、频率最小、时宽和带宽的变化情况;-Descr iption amplitude of the triangular wave amplitude modulated signal before and after the change in the length of time the center of the signal, the frequency of the minimum, and when the wide band
  3. 所属分类:source in ebook

    • 发布日期:2017-04-02
    • 文件大小:794
    • 提供者:凯文
  1. conv

    0下载:
  2. 用汇编语言编写卷积运算。Visual DSP++软件环境下使用三角波和正弦波卷积运算验证程序,与MATLAB仿真结果对比。 -Convolution written in assembly language. Visual DSP++ using the triangle wave and sine convolution validator software environment, compared with the MATLAB simulation results.
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:14956
    • 提供者:liu
  1. Key-61

    0下载:
  2. 本次设计是以TMS320C5509A DSP芯片为平台设计与实现多种波形发生器,它是一个以DSP为核心来实现波形产生器的系统,该系统具有结构简单灵活,抗干扰能力强,产生频率较高,应用广泛等特点。设计的硬件部分主要是由DSP芯片和D/A转换芯片TLC7528组成。TMS320C5509A DSP通过D/A输出三角波,正弦波,方波,锯齿波等信号,它们都是通过DSP应用软件给出,在以上硬件的基础上,通过软件编程来实现四种波波形。-The design is based on the platform
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:75362
    • 提供者:谢焱辉
  1. four-waveform-generator

    0下载:
  2. 基于51单片机和DAC0832的波形发生器,方波三角波正弦波锯齿波四种波形。频率和输出波形极性可调,方波占空比可调。-MCS-51 based signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:50061
    • 提供者:Gavin Zhang
  1. code

    0下载:
  2. 根据双尺度差分方程构造小波函数 初始函数 矩形波/三角波-The dual-scale construction of wavelet function differential equation initial wave function rectangular/triangular wave
  3. 所属分类:Wavelet

    • 发布日期:2017-04-12
    • 文件大小:603
    • 提供者:
  1. 123

    0下载:
  2. 三角波波形发生器,是一个利用单片机DA数码管显示为一体的仿真-Triangle wave form generator, using single chip computer is a DA digital tube display for the integration of simulation
  3. 所属分类:Compiler program

    • 发布日期:2017-04-17
    • 文件大小:66842
    • 提供者:张任
  1. six_wave

    0下载:
  2. 产生六种波形的DDS信号发生器,用verilog实现,有modersim仿真程序和结果,产生正玄波,方波,锯齿波,三角波,阶梯波。实现完全可用-the dds can output six signal,write in verilog。
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:782073
    • 提供者:huawei
  1. Labwindows_CVI-Signal-Processing

    0下载:
  2. LabWindows_CVI作为一个用于建立自动测试系统的理想软件开发系统,提供了大量用于信号分析处理的函数,满足工程人员的需要。 信号产生实例工程“signal generation.prj”,LabWindows_CVI产生冲击信号、脉冲信号、斜坡信号、正旋信号、噪声信号、三角波信号等,在Graph控件中显示波形。 信号时域分析工程“timedomainanalysis.prj”,介绍了LabWindows_CVI信号的叠加、相关分析及卷积三种常用时域信号处理方式,将处理结果在Gra
  3. 所属分类:LabView

    • 发布日期:2017-05-07
    • 文件大小:1033344
    • 提供者:WangJ
  1. sanjiaobo

    0下载:
  2. 基于三角波调制的单相pwm模型仿真,相关研究的人可以参考一下-Based on a triangular wave modulation of single-phase pwm model simulation studies of people can refer to
  3. 所属分类:matlab

    • 发布日期:2017-04-28
    • 文件大小:9460
    • 提供者:anpei
  1. tcl5615

    0下载:
  2. tcl5615产生三角波、正弦波、方波、锯齿波四种波形51C程序-Based on 51 single chip microcomputer tcl5615 produce triangle wave, sine wave, square wave, sawtooth waveform 51 c program
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-26
    • 文件大小:100489
    • 提供者:丁浩烜
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com